JP5144254B2 - メモリセル形成のためのインサイチュ表面処理 - Google Patents

メモリセル形成のためのインサイチュ表面処理 Download PDF

Info

Publication number
JP5144254B2
JP5144254B2 JP2007506168A JP2007506168A JP5144254B2 JP 5144254 B2 JP5144254 B2 JP 5144254B2 JP 2007506168 A JP2007506168 A JP 2007506168A JP 2007506168 A JP2007506168 A JP 2007506168A JP 5144254 B2 JP5144254 B2 JP 5144254B2
Authority
JP
Japan
Prior art keywords
layer
passive layer
memory cell
organic
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007506168A
Other languages
English (en)
Other versions
JP2007533124A (ja
Inventor
ティー. ヒュイ アンジェラ
Original Assignee
スパンション エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by スパンション エルエルシー filed Critical スパンション エルエルシー
Publication of JP2007533124A publication Critical patent/JP2007533124A/ja
Application granted granted Critical
Publication of JP5144254B2 publication Critical patent/JP5144254B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Description

本発明は、一般に半導体の製造に関し、より詳細には、有機メモリセルのための導電促進層をプラズマ処理によって形成するシステムおよび方法に関する。
半導体産業においては、デバイスの集積度、スループットおよび歩留りの向上が常に追求されている。デバイスの集積度を上げるために、半導体デバイスの寸法の(例えばサブミクロンレベルでの)微細化に向けた努力がなされており、この努力が続いている。このような集積度を達成するために、特徴(形体、feature)のサイズを小さくすると共に、特徴の形状を忠実に形成することが求められている。これには、相互接続配線の幅および間隔、コンタクト孔の間隔および径、各種特徴のコーナーやエッジなどの表面形態が含まれる。スループットを向上させるには、必要なプロセス工程の数を減らすか、このようなプロセス工程の所要時間を短縮するか、この両方を行うことが考えられる。製造プロセスに投入された製品の個数に対する製造プロセスから出て行く完成品の割合である歩留りを向上させるには、個々の製造プロセスの制御および/または品質を改良することが考えられる。
半導体製造とは、ウェハ表面に半導体デバイスを作成するために用いる製造プロセスのことである。研磨済みのブランクウェハが半導体製造に入ると、その表面に多数の半導体デバイスが設けられて出てくる。半導体製造では、デバイスを制御および製造する多数のステップおよび/またはプロセスが行われ、使用される基本的なプロセスには、積層(layering)、ドーピング、熱処理およびパターニングがある。積層とは、ウェハ表面に薄い層を重ねていく処理である。この層は、例えば絶縁体、半導体および/または導電体などであり得、さまざまなプロセスによって成長または堆積される。一般的な堆積技術に、蒸着やスパッタリングがある。ドーピングとは、ウェハ表面に特定量のドーパントを添加するプロセスである。ドーパントは、層の特性を変化させる(例えば、半導体を導電体に変える)ことができる。ドーピングには、熱拡散やイオン注入法など、数多くの方法を用いることができる。熱処理とは、特定の効果を得るために、ウェハを加熱して冷却する別の基本的な処理である。通常、熱処理では、ウェハに材料を足したりウェハから材料を除去することは行われないが、汚染が生じたり、ウェハから蒸気が蒸発することがある。よく用いられる熱処理にアニールがあり、この処理は、通常はドーピング処理によって生じたウェハ/デバイスの結晶構造の損傷を修復する。合金化や溶剤の揮発除去など、ほかの熱処理も半導体製造に使用される。
コンピュータのパワーが絶えず上がり、新しい改良型の電子デバイスが絶えず開発されている(デジタルオーディオプレーヤ、ビデオプレーヤなど)ため、コンピュータと電子デバイスの台数と使用が増加し続け、これらが複雑化し続けている。さらに、デジタルメディア(例えばデジタルオーディオ、ビデオ、画像など)の増加と使用により、これらの機器の開発に更に拍車がかかっている。このような増加と開発により、コンピュータと電子デバイスが記憶および保持することが要求/所望される情報の量が極めて増大している。
一般に、情報は、数多くあるタイプの記憶装置の1つ以上に記憶および保持される。記憶装置には、例えばハードディスクドライブ、コンパクトディスクドライブと、これらに対応する媒体、デジタルビデオディスク(DVD)ドライブなどの長期記憶媒体がある。長期記憶媒体は、通常は大量の情報を低コストで記憶するが、ほかの種類の記憶装置よりも低速である。記憶装置にはメモリセルもあり、これは、多くの場合短期記憶媒体であるが必ずしもそうであるとは限らない。短期メモリセルは、長期記憶媒体よりも実質的に高速であることが多い。このような短期メモリセルには、例えば、ダイナミックランダムアクセスメモリ(dynamic random access memory:DRAM)、スタティックランダムアクセスメモリ(static random access memory:SRAM)、ダブルデータレートメモリ(double data rate memory:DDR)、高速ページモードダイナミックランダムアクセスメモリ(fast page mode dynamic random access memory:FPMDRAM)、拡張データアウトダイナミックランダムアクセスメモリ(extended data-out dynamic random access memory:EDODRAM)、同期型ランダムアクセス(synchronous dynamic random access memory:SDRAM)、VideoRAM(VRAM)、フラッシュメモリ、読出し専用メモリ(ROM)などがある。
メモリセルは、一般に揮発性型と不揮発性型に細分化することができる。揮発性メモリセルは、電力供給がなくなると情報を消失し、通常は、情報を保持するために定期的なリフレッシュサイクルを必要とする。揮発性メモリセルには、ランダムアクセスメモリ(RAM)、DRAM、SRAMなどがある。不揮発性メモリセルは、デバイスへの電力供給の有無を問わず情報を保持する。不揮発性メモリセルには、ROM、プログラム可能読出し専用メモリ(programmable read only memory:PROM)、消去プログラム可能読出し専用メモリ(erasable programmable read only memory:EPROM)、電気的消去可能プログラム可能読出し専用メモリ(electrically erasable programmable read only memory:EEPROM)、フラッシュEEPROMなどがあるが、これらに限られない。揮発性メモリセルは、不揮発性メモリセルと比べ、一般に低コストで高速に動作する。
メモリセルには、多くの場合メモリセルのアレイが含まれる。各メモリセルは、情報にアクセスされる、すなわち情報の“読出し”、“書込み”、および“消去”が行われる。メモリセルは、“オフ”状態または“オン”状態(“0”、“1”とも呼ばれる)で情報を保持している。通常、メモリセルは、指定されたバイト数(例えば1バイトにつき8つのメモリセル)を取得するためにアドレス指定される。揮発性メモリセルでは、メモリセルの状態を保持するために、メモリセルを定期的に“リフレッシュ”する必要がある。このようなメモリセルは、通常、そのさまざまな機能を実行し、2つの状態を切り替えて、保持することができる半導体デバイスから作製されている。このデバイスは、多くの場合、結晶性シリコンデバイスなど、無機ソリッドステート技術によって作製される。メモリセルによく用いられる半導体デバイスは、酸化金属半導体電界効果トランジスタ(metal oxide semiconductor field 効果 transistor:MOSFET)である。
ポータブルコンピュータと電子デバイスが普及し使用が増えたことで、メモリセルに対する要求が非常に高まってきた。デジタルカメラ、デジタルオーディオプレーヤ、個人情報端末などは、一般に、大容量のメモリセル(例えば、フラッシュメモリ、スマートメディア、コンパクトフラッシュなど)の使用を要求する。情報記憶に対する需要の増加は、メモリセルの記憶容量のかつてない増加(例えば、ダイまたはチップあたりの記憶容量の増加など)に呼応している。郵便切手のサイズのシリコンの小片が、例えば、何千万個ものトランジスタを含み、各トランジスタが数百ナノメートル程度に小さいこともある。しかし、シリコン系のデバイスは、根本的な物理サイズの限界に達しようとしている。無機ソリッドステートデバイスは、一般に複雑なアーキテクチャを採用しなければならず、これが高コストとデータ記憶密度の低下を引き起こしている。無機半導体材料系の揮発性半導体メモリは、ほぼ常に電流の供給を必要とし、これが、記憶している情報を保持するだけのために、発熱を生じさせ大きな電力を消費させる。不揮発性半導体メモリセルも、無機半導体材料をベースとしているが、記憶された情報を保持するためにこのように常時電力を供給する必要がない。しかし、不揮発性半導体メモリセルは、代表的な揮発性メモリセルと比べて、データ転送速度が低く、消費電力が大きく、より複雑である。
さらに、無機ソリッドステートデバイスが微細化し、集積化が進むと、位置合わせの許容誤差がより厳しくなり、製造が顕著に困難となる。最小サイズの特徴を形成できるからといって、この最小サイズで実際に動作する回路が製造できるというわけではない。最小サイズよりも位置合わせの許容誤差のほうが格段に小さい必要があり、例えば、最小サイズの4分の1のサイズである必要がある。このため、デバイスの更なる微細化と高集積化が、無機メモリセルでは制限される可能性がある。さらに、低コストを維持しながら、無機不揮発性メモリセルを、増大する性能への要求を満足しつつこのように微細化するのは特に困難である。
以下では、本発明の一部の態様の基本を理解できるように、発明の概要を説明する。この概要は、本発明を広く概観するものではない。また、本発明の主要または重要な要素を特定したり、本発明の範囲を詳細に記載することを意図するものでもない。その目的は、後述する詳細な説明に先立ち、単に本発明の一部概念を簡潔に示すことにある。
本発明は、有機メモリセルを形成するためのシステムおよび方法に関し、これは、例えば、揮発性、低い密度と低いデバイス性能などの従来の無機メモリデバイスに固有の不具合を低減させる。本発明の1つ以上の態様によれば、パッシブ層として知られる有機メモリセルの一部が、プラズマを使用した処理によって、下層の導電層から、その導電層の上に形成される。このようなパッシブ層は一般に、硫化銅(CuS)などの導電促進化合物を含み、これは導電層から、この導電層の上部を、例えば、フッ素(F)系ガスから生成されうるプラズマで処理することによって形成されうる。この変換プロセスは、特に、パッシブ層を例えば所望の膜厚に形成するのを支援するために、監視および制御されうる。
上記の目的およびこれに関連する目的を達成するために、本発明の特定の例示的な態様を、以下の記載および添付の図面に関してここに記載する。しかし、これらの態様は、本発明の原則の1つ以上を使用することができるさまざまな方法の幾つかに過ぎず、本発明のこのような態様およびその均等物の全てが含まれることが意図される。本発明の他の目的、効果および新しい特徴は、図面を参照して考察すれば、以下の発明の詳細な説明から明らかとなるであろう。
本発明を、添付の図面に示した例を採り上げて説明する。
図面を参照して本発明を記載する。図面全体にわたり、同じ参照符号は同じ要素を参照している。本発明をよく理解できるように、以下の説明では、説明を目的として具体的な詳細を数多く記載する。しかし、これらの特定の詳細に従わなくとも本発明の1つ以上の態様を実施できることは当業者には自明であろう。また、本発明の1つ以上の態様を説明しやすくするために、公知の構造および/または装置をブロック図形式で示す。
図1は、有機メモリセル100の断面図であり、その一部を、本発明の1つ以上の態様に従って形成することができる。有機メモリセルは、少なくともその一部が有機材料をベースとしたメモリデバイスであり、このため、無機材料をベースとしたメモリデバイスの制約の一部を解決することができる。有機メモリデバイスは、従来の無機メモリデバイスと比較して、デバイスの性能を向上させつつ、デバイス密度を上げるのに役立つ。さらに、有機メモリデバイスは、不揮発性であり、このため、リフレッシュサイクルを頻繁に必要とすることなく、常時またはほぼ常時電力を供給する必要もない。有機メモリデバイスは、さまざまなインピーダンスレベルに対応する2つ以上の状態を有することができる。この状態はバイアス電圧を印加することで設定され、逆バイアスの電圧が別に印加されるまで、セルはその状態のままとどまる。セルは、電力が供給されても、されなくても(不揮発性など)その状態を保持し、注入電流または発光を測定することによって、電気的または光学的に読み出すことができる。
図1に示すセルなどの有機メモリセルは、ウェハ(代表的には、通常シリコンを含む基材(base substrate)102)に形成されうる。有機メモリデバイス100は、第1誘電体層104、バリア層106、下部電極108、パッシブ層110、第2誘電体層112、有機層114および上部電極120を有する。本発明の1つ以上の態様によれば、有機メモリデバイス100のパッシブ層110は、プラズマ処理プロセスによって少なくともその一部が形成される。有機メモリセル100は、2つの状態しか保持できない従来の無機メモリセルとは異なり、2つ以上の状態を保持することができる。このため、有機メモリセル100の1つのセルは、1ビット以上の情報を保持することができる。さらに、有機メモリセル100は不揮発性メモリセルであり、そのため、常時またはほぼ常時電力を供給する必要がない。
第1誘電体層104は、基板102に形成され、誘電性または絶縁性を有する物質であれば、どのような種類の物質から形成されてもよい。下部電極108は、基板102上に導電材料を堆積させることによって形成される。導電材料を堆積させる前に、誘電層104に1つ以上のトレンチおよび/またはビアが形成され、その後、このトレンチに、周囲の誘電層104と同じ高さになるまで、選択的に導電材料が堆積されうる。導電層は、誘電層104の高さよりも高くトレンチ内に堆積されたのち、化学的機械研磨(CMP)プロセスにより、誘電層104と面一となるように研磨されてもよい。通常、トレンチの形成には、ある種のパターニング/エッチングプロセスが用いられる。
誘電層104および/または基板102への下部電極108の拡散を低減するために、バリア層106が、トレンチ内(底部および側壁など)に形成される。下部電極108の導電材料は、例えば、銅、アルミニウム、クロム、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、不純物添加されたアモルファスシリコン、金属シリサイドなどを含みうる。導電材料に利用可能な合金の例として、ハステロイ(登録商標)、コバール(登録商標)、インバール、モネル(登録商標)、インコネル(登録商標)、黄銅、ステンレス鋼、マグネシウム銀合金や、その他の各種合金が挙げられる。下部電極108は、例えば、導電材料の(例えば、スパッタリングによる)堆積と、トレンチの外部の領域から導電材料を除去するための減量CMP(reducing CMP)の実施を行うダマシンプロセスによって形成されうる。
パッシブ層110は、下部電極108の上に存在しており、電荷(正孔および/または電子)を供与および受容する能力を有する少なくとも1種類の導電促進合成物を含む。パッシブ層106のに使用可能な導電促進合成物の例は、硫化銅(CuS、CuS)、酸化銅(CuO、CuO)、酸化マンガン(MnO)、二酸化チタン(TiO)、酸化インジウム(I)、硫化銀(AgS、AgS)、酸化鉄(Fe)などの1種類以上を含む。一般に、導電促進合成物は、導電促進合成物が電荷を供与および受容できるようにする少なくとも2つの比較的安定した酸化還元状態を有する。
本発明の1つ以上の態様によれば、パッシブ層110は、プラズマ処理プロセスによって、下部電極の上部から形成され、その際、下部電極108の導電材料の一部が、所望の(例えば導電促進)材料に変換されることで、少なくともその一部が形成されうる。例えば、下部電極108の上部は、例えばフッ素(F)含有ガス(例えばCFおよび/またはSF)によってプラズマ処理され、所望の導電促進材料を形成する。このため、パッシブ層110は、導電性の下部電極(銅から成長させた硫化銅など)の少なくとも一部から“成長”するといえる。
パッシブ層110の導電促進性により、下部電極108から有機層114とパッシブ層110の界面に電荷の輸送が容易に生じるようになる。さらに、パッシブ層110により、電荷キャリア(例えば、電子または正孔)の有機層114への注入が容易に生じるようになり、有機層内の電荷キャリア濃度が増大し、有機層114の導電性が変化する。さらに、パッシブ層110は、メモリデバイス100の総電荷を均衡させるために、逆電荷も蓄積することができる。
第2誘電層112は、第1誘電層104の少なくとも一部とパッシブ層110の少なくとも一部の上に選択的に形成される(堆積されパターニングされるなど)。第2誘電層112は、セルスタックを適切に形成できるようにパターニングされ、下部電極の上に導電促進材料を形成するために、銅層のプラズマ処理前にパターニングされうる(エッチングされるなど)。第2誘電層112は、第1誘電層104に使用したものと同様の誘電材料から形成できる。第2誘電層112と誘電層104は併せて内部誘電体(inner layer dielectric:ILD)と呼ばれることもあるということが理解されるべきである。
パッシブ層110の上に有機層114が形成される。パッシブ層110上に有機層114を形成すると、2層間に界面が形成される。有機層114は、代表的には、有機小分子や共役重合体などの共役有機材料から形成される。一般に、共役有機分子は、少なくとも2つの比較的安定な酸化−還元状態をとり、このため、電荷(正孔および/または電子)を供与および受容する能力が付与される。有機層がポリマーの場合、共役有機ポリマーのポリマー主鎖が電極108と電極120の間に長手方向(例えば、電極108と電極120の内側の対向する表面にほぼ実質的に直交する方向)に延びている。共役有機分子は、主鎖が共役性を保持するように、直鎖状であっても分岐状であってもよい。このような共役分子は、π軌道が重複しており、2つ以上の共鳴構造をとることができるという特徴を有している。
有機層114は、数多くの適切な技術によって形成でき、これには、例えば、材料と溶媒の混合物を配して溶媒を除去するスピンオン法があるということが理解されるべきである。別の適切な技術には、低圧化学気相成長法(LPCVD)、プラズマ化学気相成長法(PECVD)、高密度化学気相成長法(HDCVD)などの化学気相成長法(CVD)がある。場合によっては、パッシブ層110は、有機層114の形成時に触媒として作用することもあるということが理解されよう。これに関連して、共役有機分子の主鎖は、最初はパッシブ層110に近接して形成し、離れて成長あるいは結合し、パッシブ層の表面と実質的に直交しうる。その結果、共役有機分子の主鎖は2つの電極にまたがる向きに自己整合しうる。
有機材料は環式であっても非環式であってもよい。有機ポリマーなど、場合によっては、有機材料は、形成または堆積中に電極間に自己組織化する。有機層114に使用できる共役有機ポリマーの例に、ポリアセチレン(シスまたはトランス);ポリフェニルアセチレン(シスまたはトランス);ポリジフェニルアセチレン;ポリアニリン;ポリ(p−フェニレンビニレン);ポリチオフェン;ポリポルフィリン;ポルフィリン大環状分子、チオール誘導体化ポリポルフィリン;ポリフェロセン、ポリフタロシアニンなどのポリメタロセン;ポリビニレン;ポリスチロールなどがある。さらに、有機材料は、適切なドーパント(塩など)をドープすることによって、その特性が調整されてもよい。
有機層114の上および/またはパッシブ層110の上に上部電極120が形成される。上部電極120は、有機層114の形成に使用する技術によっては、有機層114の形成前に形成されることがあるということが理解されるべきである。上部電極120は、アルミニウム、クロム、銅、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、不純物添加されたアモルファスシリコン、金属シリサイドなどの導電材料から形成されうる。導電材料に利用可能な合金の例として、ハステロイ(登録商標)、コバール(登録商標)、インバール、モネル(登録商標)、インコネル(登録商標)、黄銅、ステンレス鋼、マグネシウム銀合金や、その他の各種合金が挙げられる。上部電極120は、ニッケル、コバルト、クロム、銀、銅やその他の適切な材料、および/またはこれらの合金から形成されうる。さらに、銅の合金およびリンとホウ素の合金が使用されてもよい。上部電極に使用される導電材料は、下部電極に使用される導電材料と同じであってもよいが、必ずしもそれに限られないということが理解されるべきである。
下部電極108と上部電極120の膜厚は、形成するメモリセルの実装によって変わりうるということが理解されるべきである。しかし、膜厚範囲の例として、約0.01μm以上約10μm以下、約0.05μm以上約5μm以下、および/または約0.1μm以上約1μm以下が挙げられる。
有機層114とパッシブ層110は、集合的に、選択的導電媒体または選択的導電層と呼ばれる。この媒体は、電極108,120を介して媒体に各種電圧を印加することによって、メモリセルの動作を変えるために、導電特性(導電性、非導電性、半導性など)を制御された方法で変えることができる。
有機層114は適切な膜厚を有し、これは選択した実装および/または製造するメモリセルによって変わる。有機ポリマー層114の適切な膜厚範囲の例として、約0.001μm以上約5μm以下、約0.01μm以上約2.5μm以下、約0.05μm以上約1μm以下の膜厚が挙げられる。同様に、パッシブ層110は適切な膜厚を有し、これは選択した実装および/または製造するメモリセルによって変わる。パッシブ層110の適切な膜厚の例として、約2Å以上約0.1μm以下の膜厚、約10Å以上約0.01μm以下の膜厚、および約50Å以上約0.005μm以下の膜厚が挙げられる。
有機層114は、有機メモリセル100の動作を支援するために、一般にパッシブ層110より厚く形成されている。一態様では、有機層の膜厚はパッシブ層の膜厚よりも約0.1〜約500倍大きい。本発明に従って、これ以外の適切な比率を使用してもよいことが理解されよう。
有機メモリセル100は、従来のメモリセルと同様に、導電(低インピーダンスまたは“オン”)状態と非導電(高インピーダンスまたは“オフ”)状態の2つの状態をとることができる。しかし、2つの状態(オフまたはオンなど)に限られる従来のメモリセルとは異なり、有機メモリセルは、複数の状態をとる/保持することができる。有機メモリセルは、導電度を変えることによって、ほかの状態を識別しうる。例えば、有機メモリセルは、超高導電状態(超低レベルのインピーダンス状態)、高導電状態(低レベルのインピーダンス状態)などの低インピーダンス状態、導電状態(中レベルのインピーダンス状態)、非導電状態(高レベルのインピーダンス状態)をとることができ、これにより、例えば、2ビット以上の情報または4ビット以上の情報(例えば、4状態で2ビットの情報を、8状態で3ビットの情報を保持できる)などの複数ビットの情報を、1つの有機メモリセルに保持可能としている。
有機層がn型導電体の場合、デバイスの通常動作時に、電極に印加した電圧に応じて、電子が第2電極120から選択的導電媒体を通って第1電極108に流れる。あるいは、有機層がp型導電体の場合、正孔が第1電極108から第2電極120に流れるか、あるいは、有機層がn型およびp型であり、層110と層120と整合する適切なエネルギーバンドを有する場合、電子と正孔の両者が有機層内を流れる。このようにして、選択的導電媒体を介して電流が第1電極108から第2電極120に流れる。
有機メモリセルを特定の状態に切り替えることを、プログラミングまたは書込みと呼ぶ。プログラミングは、電極108,120を介して選択的導電媒体に所定の電圧(例えば、9ボルト、2ボルト、1ボルトなど)を印加することによって行われる。この所定の電圧はしきい値電圧とも呼ばれ、個々の所望の状態に応じて変わり、一般に、通常動作に使用される電圧よりも実質的に高い。このため、一般に、個々の所望の状態(例えば、“オフ”、“オン”など)に対応するしきい値電圧が別個に存在する。このしきい値は、有機メモリセルを構成している材料の種類(identity)、各層の膜厚などの数多くの要因によって変わる。
一般に、しきい値を超える電界が印加されているなどの外部刺激が存在する状態(“オン”状態)で、電圧を印加すると、有機メモリセルに対する情報の書込み、読出し、または消去が可能となるのに対し、しきい値を超える外部刺激が存在しない状態(“オフ”状態)では、電圧を印加しても有機メモリセルに対して情報の書込みや読出しを行うことができない。
有機メモリセルから情報を読み出すには、電圧源を介して電圧または電界(2ボルト、1ボルト、0.5ボルトなど)が印加される。次に、インピーダンス測定が実行され、メモリセルの動作状態(例えば、高インピーダンス、超低インピーダンス、低インピーダンス、中程度のインピーダンスなど)が決定される。上で述べたように、このインピーダンスは、2状態デバイスでは、例えば、または“オン”(例えば、1)または“オフ”(例えば、0)に関連しており、4状態デバイスでは、“00”、“01”、“10”、または“11”に対応している。これ以外の状態の数を使用して、2進数の別の解釈(interpretations)を定義できるということが理解されよう。有機メモリセルに書き込まれている情報を消去するには、負電圧、すなわち、しきい値を超える書込み信号の極性と逆極性の電圧が印加される。
図2は、別の有機メモリセル200を示す破断図であり、その一部が本発明の1つ以上の態様に従って形成されうる。このメモリセルは、マルチセルメモリデバイスである。説明のために、メモリデバイス200をデュアルセル構造として記載するが、2を超えるセルを有するメモリ構造を作製してもよいことが理解されるべきである。誘電層204内に、関連するバリア層208を有する下部電極206が形成されており、バリア層208は、下部電極206がその下の(subsequent)層210に拡散するのを低減する。下部電極206は、通常は銅などの導電材料から形成されるが、アルミニウム、クロム、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、不純物添加されたアモルファスシリコン、金属シリサイドなどの適した任意の導電材料を含んでもよい。導電材料に利用可能な合金の例として、ハステロイ(登録商標)、コバール(登録商標)、インバール、モネル(登録商標)、インコネル(登録商標)、黄銅、ステンレス鋼、マグネシウム銀合金や、その他の各種合金が挙げられる。
本発明の1つ以上の態様によれば、下部電極206の上にパッシブ層212が形成されている。このパッシブ層は、例えば、硫化銅(CuS,CuS)であり、例えば、フッ素(F)ガスを使用した導電性の下部電極206のプラズマ処理によって形成されうる。このプラズマ処理は下部電極を構成している導電材料の組成(makeup)を変化させて、導電材料に導電促進特性を付与させる。下部電極206と関連するパッシブ層(複数の層のこともある)212は、マルチセルメモリデバイス200の共通の活性化またはアクセス要素として協働する。
パッシブ層212の形成後、層204の上に誘電層202が追加され、この層202内に有機半導体材料214が形成される。この有機材料は、例えば、ポリアセチレン(シスまたはトランス);ポリフェニルアセチレン(シスまたはトランス);ポリジフェニルアセチレン;ポリアニリン;ポリ(p−フェニレンビニレン);ポリチオフェン;ポリポルフィリン;ポルフィリン大環状分子、チオール誘導体化ポリポルフィリン;ポリフェロセン、ポリフタロシアニンなどのポリメタロセン;ポリビニレン;ポリスチロールなどの有機ポリマーを含むポリマーでありうる。
有機材料214に誘電材料216が部分的に埋め込まれ、これは、例えば、酸化シリコン(SiO)、二酸化シリコン(SiO)、窒化シリコン(Si)、(SiN)、酸窒化シリコン(SiO)、フッ化酸化シリコン(SiO)、ポリシリコン、アモルファスシリコン、テトラエチルオルソシリケート(TEOS)、リン酸珪酸塩ガラス(PSG)、ホウ素含有リン酸珪酸塩ガラス(BPSG)、任意の適切なスピンオンガラス、ポリイミドまたは他の適切な絶縁材料を含みうる。図に示すように、2つの導電性電極218,220が有機材料214の上に形成されており、これにより、メモリセル222,224が有機材料214の垂直位置(Y+方向およびY−方向)に形成されている。このため、電極218と電極206の間に適切な電圧を印加すると、メモリセル222に記憶状態(例えば、1、0やそれ以外のインピーダンス状態)を記憶する(あるいはここから読み出す)ことができ、電極220と電極206の間に適切な電圧を印加すると、メモリセル224に記憶状態を記憶する(あるいはここから読み出す)ことができる。
前述のように、有機材料214の上に複数の電極を形成して、2を超えるメモリセルを形成することができる。さらに、集積回路(IC)メモリデバイスに従って、このようなマルチセルメモリデバイス200を複数形成することができる(例えば、不揮発性メモリICとして構成された1メガビット、2メガビット、8メガビットの記憶セルなど)。さらに、本発明に従って、複数のマルチセル構造の記憶、消去、読出しおよび書込み(例えば、8/16バイト/ワードの消去、読出し、書込み)を行うために、層210内に符号226で示すような共通ワード線を設けてもよい。
図2の参照符号230を簡単に参照すると、上面図にメモリデバイス200のデュアルセル構造が示されている。構造230の上部から観察できるように、円筒形(または多次元)の構造232が、有機材料214と誘電材料216の組み合わせから形成されている。
図3を参照すると、ここに記載する方法で形成されたメモリセルを有するものなど、メモリセルのアレイ300が示されている。このようなアレイは、一般にシリコン系のウェハ上に形成され、ビット線と呼ばれる複数の列302と、ワード線と呼ばれる複数の行304を有する。ビット線とワード線の交点が特定のメモリセルのアドレスを構成している。アレイ内の適切な列と行を(例えば、列アドレスストローブ(column address strobe:CAS)306と行アドレスストローブ(row address strobe:RAS)308をそれぞれ使用して)選択し、信号を送ることによって、メモリセルにデータ(0または1など)を記憶させることができる。例えば、310に示されるメモリセルの状態(例えば、0または1)は、アレイ300の第3行と第8列の関数である。例えば、ダイナミックランダムアクセスメモリ(DRAM)では、メモリセルはトランジスタとコンデンサの対を有する。メモリセルに書き込むには、(CAS306などによって)適切な列に電荷を送って列内の個々のトランジスタを活性化させ、個々のコンデンサを設定すべき状態を(RAS308などによって)適切な列に送る。セルの状態を読み出すには、コンデンサに蓄えられた電荷のレベルをセンスアンプによって決定しうる。そのレベルが50パーセントを超える場合はlと読み出され、超えていない場合は0と読み出されうる。図3に示すアレイ300は64個のメモリセル(例えば、8行×8列)を有するが、本発明はどのような数のメモリセルにも応用でき、特定の構成、配置および/またはメモリセル個数に限定されないということが理解されるべきである。
図4は、メモリセル400を形成する際に実装されうる層の破断図を示す。このメモリセルは、例えば、図1を参照して上に記載したメモリセルに対応しうる。この層は、基板402(シリコンなど)を有し、その上に誘電材料または絶縁材料の層404が形成されている。この誘電層は、適切であれば、どのような方法でも形成することができ、これには、例えば、成長法、堆積法、スピンオン法および/またはスパッタリング法がある。誘電層404は、トレンチまたは開口部が(エッチングなどによって)加工されており、その内部に下部電極が形成される。誘電材料404は、例えば、酸化シリコン(SiO)、二酸化シリコン(SiO)、窒化シリコン(Si)、(SiN)、酸窒化シリコン(SiO)、フッ化酸化シリコン(SiO)、ポリシリコン、アモルファスシリコン、テトラエチルオルソシリケート(TEOS)、リン酸珪酸塩ガラス(PSG)、ホウ素含有リン酸珪酸塩ガラス(BPSG)、任意の適切なスピンオンガラス、ポリイミドまたは他の適切な絶縁材料を含みうる。
図5において、下部電極の形成において、トレンチ406に導電材料408が埋め込まれる。トレンチには、誘電層404 および/または基板402への下部電極408の拡散を低減するために、底部と側壁を有するバリア層410も形成される。下部電極408の導電材料は、例えば、銅、アルミニウム、クロム、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、不純物添加されたアモルファスシリコン、金属シリサイドなどを含みうる。導電材料に利用可能な合金の例として、ハステロイ(登録商標)、コバール(登録商標)、インバール、モネル(登録商標)、インコネル(登録商標)、黄銅、ステンレス鋼、マグネシウム銀合金や、その他の各種合金が挙げられる。下部電極408は、例えば、導電材料を、周囲を取り囲む誘電層404の膜厚と同じかそれより厚く(例えば、スパッタリングによって)トレンチ内に堆積するダマシンプロセスによって形成される。次に、この層が、所望のレベルの均一性および/または膜厚を得るために化学的機械研磨(CMP)処理されうる。例えば、導電層とそれを取り囲む誘電材料の適切な膜厚範囲として、約0.01μm以上約10μm以下、約0.05μm以上約5μm以下、および/または約0.1μm以上約1μm以下が挙げられる。
図6において、既存の誘電層404の上に第2誘電層412が形成される。第2誘電層412は、適切であれば、どのような方法でも形成することができ、これには、例えば、成長法、堆積法、スピンオン法および/またはスパッタリング法がある。第2誘電層は、必須ではないが、第1誘電層404を構成しているのと同じ材料によって形成されてもよく、これは、酸化シリコン(SiO)、二酸化シリコン(SiO)、窒化シリコン(Si)、(SiN)、酸窒化シリコン(SiO)、フッ化酸化シリコン(SiO)、ポリシリコン、アモルファスシリコン、テトラエチルオルソシリケート(TEOS)、リン酸珪酸塩ガラス(PSG)、ホウ素含有リン酸珪酸塩ガラス(BPSG)、任意の適切なスピンオンガラス、ポリイミドまたは他の適切な絶縁材料を含みうる。誘電層404,412は内部誘電体(ILD)とも呼ばれることが理解されよう。下部電極を構成している導電材料408の上部の上にパッシブ層を形成するか、この上部からパッシブ層を形成できるように、第2誘電層にトレンチまたはビア414が形成される(例えばエッチングされる)。
図7を参照すると、下部電極408の一部が、トレンチ414を介してプラズマ処理に露出される。 より詳細には、特にCFおよび/またはSFなどのフッ素(F)から生成されうるプラズマ416が下部電極408の上部418に接触しうる。プラズマ416が、下部電極408の上部418をパッシブ層420(想像線に示す)に変換するために、上部418と作用し、導電材料を所望の導電促進特性を有する化合物に変換し、これが、メモリセル400の形成において、下部電極408と後からその上に形成される他の層との間に導電性を付与するのを支援する。
例えば、気体状のSF 422が、少なくともその一部が銅(Cu)から形成されている下部電極408の上部に導入される。ガス422を励起して、フッ素系プラズマ416を発生させるために、RF励起源424が使用される。プラズマ416が上部418と作用し、下部電極408の上で、導電性の銅を硫化銅(CuS,CuS)の薄い層に変換しうる。このため、パッシブ層420は下部電極408から“成長”されるといえる。パッシブ層420に対して、例えば、所望のレベルの均一性および/または膜厚を得るための研磨および/またはエッチングなど、後続の処理が行われてもよいということが理解されるべきである。メモリセルの特定の実装のためパッシブ層420の適切な膜厚の例として、約2Å以上約0.1μm以下の膜厚、約10Å以上約0.01μm以下の膜厚、および約50Å以上約0.005μm以下の膜厚が挙げられる。このスタックに第2誘電層412を追加する前に、プラズマ処理が実施されてもよいということが更に理解されよう。さらに、導電促進パッシブ層420は、例えば、約2.0〜2.21の屈折率と約5.7×10ー2Ω・cmの比抵抗を有し、600〜700nmにおける透過率が約60%と透明でありうる。しかし、これらのパラメータは本発明の1つ以上の態様による数多くのプロセスパラメータとパッシブ層が有する特性のほんの一部の例に過ぎないため、本発明はパラメータに厳密に限定されることはない点に留意されたい。
図8を参照せずにおくと、下部電極408の上部418のパッシブ層420に変換されたら、パッシブ層420に有機層426が形成される。有機層は、適切であれば、どのような方法でも形成することができる。有機層426の形成に使用できる方法の1つに、スピンコート法があり、この方法では、有機層を構成する材料の混合物を配し、ウェハを素早く回転させて、材料を、開口部414も含めてウェハに均一に分配する。これに替えて、あるいはこれに加えて、有機層426を形成するためにスパッタリング法、成長法および/または堆積法を使用することができ、これには、例えば、物理蒸着法(PVD)、化学気相成長法(CVD)、低圧化学気相成長法(LPCVD)、プラズマ化学気相成長法(PECVD)、高密度化学気相成長法(HDCVD)、急速熱処理化学気相成長法(RTCVD)、有機金属化学気相成長法(MOCVD)、パルスレーザ堆積法(PLD)などがある。余分な有機材料は、化学的機械研磨(CMP)やほかの適切な手段によって、誘電層414から取り除くことができる。また、有機層426に対して、所望の膜厚および/または所望のレベルの均一性を得るために、後続の処理(例えば、エッチング)が行われてもよい。
有機層426は有機材料を含み、これは環式であっても非環式であってもよい。有機層426に使用できる共役有機ポリマーの例に、ポリアセチレン(シスまたはトランス);ポリフェニルアセチレン(シスまたはトランス);ポリジフェニルアセチレン;ポリアニリン;ポリ(p−フェニレンビニレン);ポリチオフェン;ポリポルフィリン;ポルフィリン大環状分子、チオール誘導体化ポリポルフィリン;ポリフェロセン、ポリフタロシアニンなどのポリメタロセン;ポリビニレン;ポリスチロールなどがある。メモリセルの特定の実装のための有機層426の膜厚範囲の例として、約0.001μm以上約5μm以下、約0.01μm以上約2.5μm以下、約0.05μm以上約1μm以下の膜厚が挙げられる。有機層426は、有機メモリセル400の動作を支援するために、一般にパッシブ層420より厚く形成されている。一態様では、有機層の膜厚はパッシブ層の膜厚よりも約0.1〜約500倍大きい。本発明に従って、これ以外の適切な比率を使用してもよいことが理解されよう。
図9は、完成した状態のメモリセルを示しており、有機層426の上に上部電極428が形成されている。上部電極428は、アルミニウム、クロム、銅、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、不純物添加されたアモルファスシリコン、金属シリサイドなどの導電材料を含みうる。導電材料に利用可能な合金の例として、ハステロイ(登録商標)、コバール(登録商標)、インバール、モネル(登録商標)、インコネル(登録商標)、黄銅、ステンレス鋼、マグネシウム銀合金や、その他の各種合金が挙げられる。上部電極に使用される導電材料は、下部電極408に使用される導電材料と同じであってもよいが、必ずしもそれに限られないということが理解されるべきである。
上部電極428は、適切であれば、どのような方法でも形成することができ、これには、例えば、成長法、堆積法、スピンオン法および/またはスパッタリング法がある。余分な導電材料は、例えば化学的機械研磨によって、誘電層412から取り除くことができる。上部電極428に対して、例えば、所望のレベルの均一性および/または膜厚を得るために、追加の処理(エッチングおよび/または研磨など)が行われてもよい。上部電極428の膜厚範囲の例として、約0.01μm以上約10μm以下、約0.05μm以上約5μm以下、および/または約0.1μm以上約1μm以下が挙げられる。
図10は、本発明の1つ以上の態様による、詳細には導電促進特性を付与するために、導電材料の上部を変換するプラズマ処理によって、導電材料(銅など)の層の上にパッシブ層(例えば、硫化銅(CuS,CuS))を形成するためのシステム1000を示す模式ブロック図である。形成速度は、ガスの組成および/または濃度、励起電圧、温度および/または圧力などの因子によって変わりうるが、因子はこれらに限定されないということが理解されよう。ここに記載する形成法は、ウェハに1つ以上のメモリセルが作製される半導体製造プロセスの一環として使用することができる。
システム1000は、複数の壁を有するハウジングによって画定されているチャンバ1002を有する。チャンバ1002は、導電材料1008を有するウェハ1006を支持するように動作可能なステージ1004(またはチャック)を有するものなど、支持部を有し、この導電材料1008から、1つ以上のメモリセルの形成の一環として、1層以上のパッシブ層が選択的に形成されうる。導電材料の連続する層1008が一般的に図10に示されているが、ウェハは、1つ以上のトレンチが形成されている1つ以上の誘電材料の形成物を有してもよく、このトレンチには、選択的に形成された導電材料の堆積物が形成されており、このトレンチによって、その後行われる処理(例えば、図4〜9に示すものなど)に導電材料の堆積物(その上部)が露出されるということが理解されよう。
支持部1004には、チャンバ1002内の所望の位置にウェハ1006を選択的に移動させるための位置合わせシステム1010が動作可能に接続されている。本発明の1つ以上の態様に従って任意の適切な位置合わせシステムを使用することができるということが理解されるべきである。導電材料は銅であってもよく、このほか、アルミニウム、クロム、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、不純物添加されたアモルファスシリコン、金属シリサイドなどの任意の適した導電材料であってもよいことが更に理解されよう。導電材料に利用可能な合金の例として、ハステロイ(登録商標)、コバール(登録商標)、インバール、モネル(登録商標)、インコネル(登録商標)、黄銅、ステンレス鋼、マグネシウム銀合金や、その他の各種合金が挙げられる。
例えば、特に形成するパッシブ層の膜厚、形成するパッシブ層の組成、チャンバ内の圧力、チャンバ内の温度および/またはチャンバの容積に基づいて、気体状の化学物質を、さまざまな速度、体積、濃度などで、チャンバに選択的に供給するために、チャンバ1002にガス供給システム1012が動作可能に結合されている。ガス供給システム1012は、フッ素(F)系ガス(例えば、CFおよび/またはSF)など、チャンバに導入する1種類以上の化学物質の気体状の媒体(蒸気)の供給源を備えている。図示した例では、ガスが配管1014を介してチャンバに供給され、配管1014の先端にはノズル1016が設けられている。簡潔を期すために、図10にはノズル1016が1本しか記載されていないが、複数本のノズルを使用するか、別のガス供給機構を使用して、本発明の1つ以上の態様に従って、さまざまな混合および/または濃度のガスをチャンバ1002に供給してもよいことが理解されよう。例えば、シャワーヘッド型のガス配給機構を用いて、チャンバ内のウェハ1006の上部に化学物質をより均一に供給してもよく、こうすることで、ウェハ全面に広がる誘電材料に形成されたトレンチに選択的に形成され、このトレンチによって選択的に露出されている導電材料において化学反応をより均一に起こさせることができる。
チャンバ1002内の温度を選択的に調整するために、温度システム1018も設けられている。例えば、システム1018は、チャンバ1002に熱を拡散させるように動作可能な拡散型のシステム(横型炉または縦型炉など)でありえる。温度システム1018が自身で温度制御工程を実行しても、このような制御が、エッチングチャンバ1002に動作可能に結合されている他のセンサ1020によって実施されてもよい。チャンバ内の圧力を選択的に調整するため、圧力システム1022が同様に備えられている。例えば、圧力システム1022は、チャンバ1002内の圧力を選択的に適合させるのを支援するために、開閉の程度を可変調整できるバルブ1026を有する排気用配管1024を1本以上有しうる。
システム1000は、エッチングチャンバとの間でウェハをロードまたはアンロードするための、チャンバ1002に動作可能に接続されたロードシステム1028も有する。ロードシステム1028は通常は自動化されており、制御された速度でウェハをチャンバにロードし、チャンバからアンロードする。システムは、1つ以上の動作パラメータ(例えば、チャンバ内の温度、チャンバ内の圧力、パッシブ層の膜厚、パッシブ層の組成、パッシブ層の導電性、導電材料から導電促進パッシブ層への変換速度)の(例えば、グラフィックおよび/または文字を使用した)表現を表示するために、制御システム1032に動作可能に結合されたディスプレイ1030も更に有しうる。
システム1000の各種コンポーネントに動作電力を供給する電源1034も備えられている。本発明の実装に適したものであれば、どのような電源装置(電池、ライン電力など)も使用することができる。チャンバ1002には励起システム1036が動作可能に結合されている。システム1036はコイル1040とRF励起(例えば、電圧)源1042を有しており、コイル1040がRF励起源1042によって励起され、これによりチャンバ内の1種類以上のフッ素(F)系ガスが電気的に励起されて、プラズマが発生し、このプラズマがウェハ全面に広がる誘電材料に形成されたトレンチ内に堆積されている導電材料(銅など)の露出している部分に作用する。導電材料の堆積物は有機メモリセルの下部電極に対応していてもよく、プラズマが、導電材料の露出している上部の導電促進材料(硫化銅など)への変換を促進して、有機メモリセルの形成においてパッシブ層が形成される。
システムは導電材料の堆積物の上部から形成されるパッシブ層の膜厚など、チャンバ内での処理をインサイチュ(in situ)で監視するための測定システム1044を有しうる。監視システム1044は単独のコンポーネントであっても、2つ以上の協働するデバイスおよび/またはプロセスに分散されていても、この両方が行われていてもよい。同様に、監視システム1044は1つの物理デバイスまたは論理デバイス(コンピュータ、プロセスなど)に存在していても、2つ以上の物理デバイスまたは論理デバイスに分散されていても、この両方が行われていてもよい。測定システム1044は、1つ以上の非破壊測定コンポーネントを有し、これは、例えば、光学干渉、スキャタロメトリ、赤外分光分析法、エリプソメトリ、走査型電子顕微鏡分析、シンクロトロンおよび/またはX線回折法などを利用したものでありうる。測定システムはビームソース1046と検出器1048とを有する。図示した例では1つのビームソース1046と1つの検出器1048が示されているが、ウェハのさまざまな場所において、パッシブ層の特性および/またはほかの処理条件を測定するために、これらのコンポーネントが2つ以上あってもよいことが理解されるべきである。
ソース部1046は、ウェハ1006の表面に向かって1以上のビーム1050(例えば、周波数安定化レーザ、レーザダイオードまたはヘリウムネオン(HeNe)気体レーザから発せられる光のビーム)を与える。ビーム1020は、形成中のパッシブ層の密度、組成などの表面条件と相互作用して、これによって変化される(例えば、反射、屈折、回折される)。変化したビーム1052は、測定システム1044の検出器部1048によって受光され、ビーム特性を有しており(例えば、強度(magnitude)、角度、位相、偏光)、この特性が入射ビーム1050の特性と比較されて検査され、形成中のパッシブ層の1つ以上の特性を示す情報(indication)(例えば、厚さ、化学種、導電性)が決定される。1つ以上のソースから複数の入射ビームを発生させて、離れた別の位置に入射させることで、例えば、プロセス中に、これらの位置において、実質的に同時にパッシブ層の特性を個々に測定することができる。この同時測定は、処理の均一性を示す情報を提供し、所望の結果を効率的かつ経済的に達成するためにプロセスを制御するのに役立ちうる。
光学干渉に関していえば、例えば、選択した波長での光の強度は、表面特性(厚さ、化学組成など)の関数として変化する。分光エリプソメトリでは、膜厚は、反射光の偏光状態に基づいて変化し、これは、ビーム1052を反射させた材料の屈折率と関数的に関連している。
スキャタロメトリ(scatrometry)法を使用することで、表面に照射された光の位相および/または強度(magtitude)と、入射光が表面に入射して、表面によって反射されて生じる複合反射および/または回折光の位相および/または強度信号とを比較することで、膜厚および/または化学組成に関する所望の情報を取り出すことができる。反射および/または回析光の強度および/または位相は、光が照射された表面の特性(例えば、膜厚、化学種、導電性、組成)に応じて変化しうる。
実質的に一意な強度/位相のシグニチャを、複合反射および/または回折光から作成することができる。測定システム1044は、測定した特性を示す情報を制御システム1032に提供する。この情報は、生の位相情報および強度情報でありえる。別法として、あるいはこれに加えて、測定システム1044は、測定した光学特性に基づいて、例えば膜厚を示す情報を導き出して、検出した光学特性に従って測定した膜厚を示す信号を制御システム1032に提供するように設計されうる。例えば、導曲線を比較することによって、反射光の位相および強度が測定されてプロットされ、この決定が支援される。
膜厚を決定するために、例えば、測定された信号特性が強度/位相シグニチャの信号(シグニチャ)のライブラリと比較されて、堆積された副生成物の特性が決定されうる。このような実質的に一意な位相/強度のシグニチャは、光が照射された表面の複素屈折率が少なくとも一因となり、異なる表面によって反射および/または屈折された光から得られる。複素屈折率(N)は、表面の屈折率(n)と吸光係数(k)とを調べることで計算することができる。複素屈折率の計算は、下記の式によって表される。
N=n−jk, [式1]
上記式においてjは虚数。
信号(シグニチャ)ライブラリは、実測で得た強度/位相のシグニチャ、および/またはモデリングおよびシミュレーションによって得たシグニチャから作成することができる。一例を挙げると、既知の強度、波長および位相を有する第1の入射光に露光されると、表面にある第1の特徴により、第1の位相/強度シグニチャが作成されうる。同様に、既知の強度、波長および位相を有する第1の入射光に露光されると、表面にある第2の特徴により、第2の位相/強度シグニチャが作成されうる。例えば、第1の厚さを有する特定の種類の材料によって第1のシグニチャが生成され、厚さの異なる同じ材料から、第1のシグニチャとは異なる第2のシグニチャが生成されうる。実測で得たシグニチャとシミュレーションされモデリングされたシグニチャとが組み合わされ、信号(シグニチャ)ライブラリが作製される。シミュレーションおよびモデリングを使用してシグニチャが作成され、このシグニチャと、測定で得た位相/強度のシグニチャとが照合されうる。シミュレーション、モデリングおよび実測で得た各シグニチャは、例えば、数千件の位相/強度のシグニチャを格納している信号(シグニチャ)ライブラリまたはデータストア1054に例えば記憶されうる。このようなデータストア1054はデータ構造にデータを記憶することができ、この例には、1つ以上のリスト、配列、テーブル、データベース、スタック、ヒープ、リンクリストおよびデータキューブがあるが、これらに限定されないことが理解されよう。このため、スキャトロメトリ検出コンポーネントから位相/強度信号を受け取ると、この位相/強度信号を信号のライブラリとパターンマッチングされ、例えば、信号が記憶されているシグニチャに対応しているかどうかを判定することができる。さらに、最も近い2つのシグニチャを補間することで、シグニチャライブラリ内のシグニチャから、厚さおよび/または組成をより正確に示す情報(indication)を識別することができる。別の実施形態では、人工知能技術を使用して、検出された光学特性に基づいて所望のパラメータを計算してもよい。
図10に示すビーム1050は、ウェハの表面に対してどのような角度で照射されてもよく、その際、反射ビームを受光するために対応する検出器が適切に配置されているということが理解されるべきである。さらに、均一性または膜厚の測定を支援するために、複数のビームを異なる位置に向けて照射して、これらの異なる位置におけるそれぞれの膜厚が測定されうる。このようにして、照射され反射されたビーム1052の光学特性(例えば、nおよびk)に基づいて、材料の厚さが決定される。
チャンバ内で発生する処理に関連する選択された様相(aspect)(例えば、チャンバ内の温度、チャンバ内の圧力、容積および/またはチャンバに配給されるガスの流量)を監視および/または測定するために、他のセンサ1つ以上1020が含まれていてもよい。これらのセンサ1020は、そのセンサが感知した様相を示す個々の信号を制御システム1032に提供しうる。他のさまざまなサブシステム1012,1018,1022,1036が、さらに、これらのシステムのそれぞれに関連する動作条件(例えば、排気バルブの開度、特定のバルブが閉となっている時間)を示すそれぞれの信号を、制御システム1032に提供しうる。制御システム1032は、測定システム1044、他のセンサ1020、およびサブシステム1012,1018,1022,1036から受け取った信号と情報に鑑みて、処理が予定通り進んでいるかどうかを識別することができる。進んでいない場合、制御システムは、適切な制御信号を作成して、関連するシステム1010,1012,1018,1022,1028,1036に選択的に提供することでプロセスを適合させ、1つ以上のシステムを選択的に調整しうる(例えば、チャンバに供給するフッ素(F)系ガスの体積を増やすなど)。
制御システム1032は、例えば、メモリ1058に結合されたマイクロプロセッサやCPUなどのプロセッサ1056を備える。プロセッサ1056は、測定システム1044から測定データを、その他のセンサ1020およびサブシステム1012,1018,1022,1036から対応するその他のデータを受け取る。制御システム1032は、ここに記載する各種機能を実行するために、任意の適切な方法で、システム1000の各種コンポーネントを制御および動作させるように構成されうる。プロセッサ1056は、複数台の任意のプロセッサであってもよく、本発明に関連する各種機能を実行するようにプロセッサ1056をプログラムする方法については、当業者であればここに記載した説明から容易にわかるであろう。
制御システム1032に備えられたメモリ1058は、特に、ここに記載したシステムの動作機能を実行するために、プロセッサ1056によって実行されるプログラムコードを記憶するように機能する。メモリ1058は、読出し専用メモリ(ROM)およびランダムアクセスメモリ(RAM)を備えうる。ROMは、コードの中でも特に、システム1000の基本的なハードウェア動作を制御する基本入出力システム(Basic Input−Output システム:BIOS)を有しうる。RAMは、オペレーティングシステムとアプリケーションプログラムがロードされるメインメモリである。また、メモリ1058は、本発明の1つ以上の態様を実行するために使用されうる、例えば、膜厚テーブル、化学組成テーブル、温度テーブル、圧力テーブルおよびアルゴリズムなどの情報を一時的に記憶するための記憶媒体としても機能しうる。また、メモリ1058は、データストア1054としても機能することができ、実測データと比較されうるパターンや本発明の実施に使用されるその他のデータを保持することができる。大容量のデータを記憶するために、メモリ1058は、ハードディスクドライブを有していてもよい。
その結果、システム1000は、例えば、堆積中のパッシブ層の膜厚、組成および/または導電性など、チャンバ内で発生している処理に関連する様相を監視することができる。制御システム1032は、硫化銅などの導電促進材料を効率的かつコスト効果の高い方法で形成するために、監視に応えて、フィードバックおよび/またはフィードフォワードのプロセス制御を実行しうる。データストアを含むシステム1000のコンポーネントの多くは、例えば、1つの物理デバイスまたは論理デバイス(コンピュータ、プロセスなど)に存在しても、2つ以上の物理デバイスまたは論理デバイス(ディスクドライブ、テープドライブ、メモリユニットなど)に分散されていても、この両方が行われていてもよいことが理解されよう。形成中の材料の厚さをインサイチュで測定して、それに応じて処理を適合させることで、所望の化学組成および/またはその他の所望の特性を有するパッシブ層を所望の速度で、所望の膜厚に容易に形成できるようになる。パッシブ層は、例えば、屈折率が約2.0〜2.21、比抵抗が約5.7×10−2Ω・cmで、600〜700nmにおいて透過率が約60%と透明でありえる。インサイチュ測定、ならびにフィードバックおよび/またはフィードフォワード制御は、従来のシステムと比べて、特に、少なくとも製品の歩留まりを向上させ、得られるデバイス性能を改善する。
次に図11〜13を参照すると、チャック1102がウェハ1104を支持している状態の斜視図であり、1つ以上の有機メモリセルの製造において、導電促進特性を付与するために、導電材料の上部を変換するフッ素系プラズマ処理によって1層以上のパッシブ層(例えば、硫化銅)がこのウェハに形成されうる。ウェハ1104は、ウェハが製造プロセスを受ける際に容易に監視できるように、図12に示す格子パターンに論理的に分割されうる。格子パターンの各格子ブロック(XY)は、ウェハ1104の特定の部分に対応しており、各格子グリッドは、その格子グリッドに関連する1つ以上のメモリセルを有しうる。これらの部分は、例えば、光学干渉、スキャタロメトリ、赤外分光分析法、エリプソメトリ、走査型電子顕微鏡分析、シンクロトロンおよび/またはX線回折法などの1つ以上の非破壊の(innocuous)方法によって、形成するパッシブ層の膜厚、パッシブ層の組成などの特性が個別に監視されうるが、これらの特性に限定されない。これによって、問題領域を軽減し、所望の結果を達成するために製造の調整が必要な場合に、必要な調整の程度を容易に選択的に決定できるようになる。
図12において、格子状にマップされたウェハの位置(X,…,X1212)に対応する箇所で行った測定の個々のプロットが示されている。このプロットは、例えば、硫化銅が許容可能な速度で形成されているか、および/または所望の膜厚に形成されているかどうかを示すシグニチャでありえる。図12に示す値を鑑みて、ウェハ1104の1つ以上の位置に望ましくない条件が存在することが判定されうる。例えば、座標Xの測定値のプロットは、他の部分XYのそれぞれの測定値よりも実質的に高くなっている。このことは、例えば、この位置で硫化銅の堆積速度が速すぎることを示しうる。このように、この条件を低減させるために、これに関連する製造コンポーネントおよび/または動作パラメータが適宜調整されうる。例えば、プロセスに追加されるフッ素系ガスの体積および/または速度が制限されるように、排気バルブの開度が絞られうる。図12は、ウェハ1104が144個の格子グリッド部分にマップ(分割)されている状態を示しているが、所望の監視および制御を実現するために、ウェハ1104が任意の適した個数にマップされてもよいということが理解されるべきである。
図13は、許容シグニチャ値と非許容シグニチャ値の表を示す。図からわかるように、格子ブロックXを除く全ての格子ブロックの測定値は、許容値(V)に対応した値であるが、格子ブロックXの値は望ましくない値(V)となっている。このため、ウェハ1104の格子ブロックXにマップされている部分に望ましくない条件が存在すると判定されている。したがって、ここに記載したように、製造プロセスを適合させて、この条件が発生したり、持続するのを低減するため、製造プロセスのコンポーネントおよびパラメータが調整されうる。
上に示し、記載した内容を考慮に入れれば、図14のフローチャートを参照して、本発明の1つ以上の態様に従って実施可能な方法をよりよく理解できるであろう。説明を簡単にするために、この方法を一連の機能ブロックとして図示および記載するが、本発明はブロックの順序に限定されず、本発明に従ってブロックの一部について、その実行順序を変更したり、あるいはここに図示および記載したブロックとは別のブロックと同時に実施してもよいことが理解および認識されよう。さらに、本発明の1つ以上の態様による方法を実装するために、ここに記載したブロックのすべてが必要というわけではない。各種ブロックに関連する機能を実行するために、ブロックを、ソフトウェア、ハードウェア、その両者の組み合わせ、あるいは他の適した任意の手段(デバイス、システム、プロセス、コンポーネントなど)によって実装できることが理解されよう。ブロックは、単に本発明の特定の態様を単純な形で示すためのものであり、これらの態様を示すブロックの数は、多くても少なくてもよいことも理解されよう。
図14を参照すると、フロー図は、本発明の1つ以上の態様による、ウェハに堆積された導電材料(銅など)の上部から生成される、導電促進特性を有する硫化銅(CuS、CuS)などのパッシブ層を形成する方法1400を示している。この形成は、堆積チャンバにおいて、フッ素(F)系ガスを利用したプラズマ処理によってウェハに1つ以上のメモリセルを形成するプロセスの一部をなすことがある。1402での起動後、1404で一般的な初期化が実行される。この初期化では、ポインタの設定、メモリの割り当て、変数の設定、通信チャネルの確立および/または1つ以上のオブジェクトのインスタンスの生成が含まれうるが、これらに限定されない。
1406で、格子ブロック“XY”を1つ以上有する格子マップが、チャンバ内のウェハに作成される。この格子グリッドは、例えば、1つ以上のメモリセルが形成されうるウェハ上の位置に対応しうる。次に、1408で、例えば、CFおよび/またはSFなどのフッ素(F)系ガスがチャンバに導入される。ほかの原料がチャンバに追加されてもよいということが理解されよう。フッ素系ガスがチャンバに導入されたら、1410で、RF源(電圧など)によってチャンバ内のコイルが励起される。このコイルが次にチャンバ内のフッ素系ガスを励起させ、プラズマを発生させる。1412で、ウェハ全面に広がる1以上の誘電材料に形成されたトレンチを介して露出している導電材料の上部に、プラズマが作用する。プラズマは、導電材料の露出している部分を、導電促進特性を有する硫化銅などの材料に変換して、これにより、有機メモリセルの形成においてパッシブ層の形成を支援する。
1414で、プロセスの進行に伴い、格子状にマップされた位置において1つ以上の非破壊測定法による測定が行われ、これには、例えば、光学干渉、スキャトロメトリ、赤外分光分析法、エリプソメトリ、走査型電子顕微鏡観察、シンクロトロンおよび/またはX線回折法などがある。例えば、形成中のパッシブ層の膜厚が、格子状にマップされた個々の位置において監視されうる。1416で、格子状にマップされた位置のすべて(または充分な数)において測定がされたかどうかが判定される。1416の判定結果がNOの場合、方法は1414に戻り、追加の測定が行われる。1418で、(例えば、測定で得られたシグニチャと記憶されているシグニチャ値とを比較するなどによって)測定値が分析される。例えば、硫化銅の膜厚の測定値が許容可能な値と比較されて、製造プロセスが計画通りに進行しているかどうかが判定されうる。測定値が、例えば許容可能な値と比較されて、例えば、導電促進材料の堆積速度が速すぎたり遅すぎたりしないか、および/または導電促進材料が適切な位置に堆積されているかどうかが判定される。
1420で、分析の結果、プロセスを調整すべきことが示された(望ましくない値(V)が発生している)かどうかが判定される。1420の判定がNOであり、プロセスの調整が不要であることが示される場合、方法は1424に進み、プロセスが終了しているかどうか(例えば、硫化銅が、すべての所望の位置において所望の膜厚、濃度、密度等に形成されているなど)が判定される。1424の判定結果がNOの場合、方法は1414に戻り、処理が続行されている間に、追加の測定が行われる。1424の判定結果がYESで、処理が終了していることが示される場合、方法は1426に進んで終了する。1420での判定がYESであり、調整が必要であることが示される場合、1422において、プロセスを適宜適合させるために、これに関連する1つ以上の製造コンポーネントおよび/または動作パラメータが、ここに記載するように選択的に調整される。例えば、硫化銅の堆積速度が速すぎる場合、高度なモデリング法を使用して、気体状のフッ素をチャンバに導入している1つ以上の排気バルブのうちのいずれのバルブを個々の時間閉じるか、開放したままにしておくが開度を絞るか、この両方を行うかが判定される。1422で調整を行ったら、方法は1424に進み、プロセスが終了しているかどうかが判定される。前述のように、図14とは異なる順序で事象が発生してもよい。例えば、1414のように測定値が取得され、1418のように分析されてから、全ての格子状にマップされた位置について測定が行われたかどうかの判定が1416のように行われてもよい。
ポリマーメモリセルで用いられる導電促進特性を有するパッシブ層(例えば、CuS)が重要な役割を果たしていることが理解されよう。この存在により、有機層の導電性が格段に向上する。この特性は、CuSが生じさせる電荷キャリア、電荷欠乏層の蓄積、電荷キャリア分布、電界逆転後の電荷キャリアの再分布による記憶の消失、の少なくとも一部の関数である。以下の議論は、電荷キャリアの濃度と挙動について記載および説明する。
以下の例では、導電性ポリマーが使用され、CuSが導電促進材料に用いられている。電荷キャリアの生成に関し、CuSの銅は酸化状態の最も大きいCu(II)にある。これは、接触しているポリマーから電子を獲得する能力が比較的高く、以下の式のようになる。
Cu(II)S+ポリマー→Cu(I)S+ポリマー (1)
この結果、CuSとポリマーの界面に電荷が蓄積されて、固有電界(intrinsic field)が発生する。これが図15に図示されており、これは、Cu(y)Sとポリマーの界面の固有電界の影響を示すグラフである。酸化されたポリマー(ポリマー)は、外部電界が印加されると電荷キャリアとなる。ポリマーの導電性は、その濃度と移動度によって決まる。
σ=qpμ (2)
上記式において、qはキャリアの電荷、pはキャリア濃度、μは移動度である。
次に電荷欠乏層に着目し、半導体に適用すものと似た概念を使用すると、ポテンシャル関数は以下のように表すことができる。
V(x)=qN(dx−x/2)/ε (3)
上記式において、Nは電荷キャリアの平均濃度、εはポリマーの比誘電率、dは電荷欠乏の幅である。Nは以下の式によって得ることができる。
Figure 0005144254
上記式において、Vは印加される外部電界の電圧である。順電圧の場合、“−”符号となり、逆電圧の場合、“+”符号となる。
導出を簡略化するために、式(3)の電圧関数を近似することができる。
半導体のp型の不純物導入と同様に、電荷キャリア分布に関し、電界内で2つの過程が生じる。このフラックスは次のように表現することができる。
Figure 0005144254
上記式において、Dは電荷キャリアの拡散係数、Eはxにおける電界である。
電流がない場合、キャリア分布は以下のようになる。
p(x)=p(0)exp([(V(0)−V(x))/V]) (6)
上記式において、p(0)は界面における濃度、V(0)は電圧であり、V=kT/qである。
順電圧が高過ぎて電流フラックスJ>0の場合、セル内の電圧分布をある程度仮定して、定常状態の流れについて分析式を導出することができる。全般的にいえば、順電圧下では、電荷分布p(x)はxの増加関数となる。逆電圧が印加されている場合、V(x)>Vであり、電荷濃度はxの減少関数となる。
最後の特性である保持時間は、順電圧を印加するとより多くの電荷キャリアが生成されて、電荷キャリアがパッシブ(CuS)層(ポリマーから離れる側)の反対側により多く蓄積する事実を反映している。しかし、この電荷キャリア濃度は、ひとたび電圧がなくなると低下するが、その際、CuS層へ向かう電荷キャリアの拡散と、界面における電荷キャリアの再結合の2つの過程が生じる。
最初の過程であるCuS層へ向かう電荷キャリアの拡散は、フィックの法則で説明できる。電荷キャリアの再結合は以下のように表すことができる。
Cu(I)S+ポリマー→Cu(II)S+ポリマー (7)
保持時間とは、電荷キャリアを元の状態に再分布させるのに要する時間のことである。反応速度は拡散速度よりも比較的高速である可能性が高い。このため、保持時間は、実質的に拡散過程のみによって決まりうる。
上述の式1〜9について代表的なメモリセルを考察し、図16〜21に示す。代表的なセルは、固有電圧V=0.02V、平衡定数Keq=2.17×10−4、界面におけるCuSとポリマーの濃度[ポリマー]=[CuS]=1023/cm、ポリマーの厚さd=5×10−5cm(0.5μm)、およびCuSの厚さdCuS=5×10ー7cm(0.005μm)の各パラメータを持つと考えられる。本発明の一態様による有機メモリセルの電気的動作を説明するために、6つの代表的な場合について計算する。
図16は、本発明の一態様による、代表的なメモリセルの電荷キャリア分布1602を、CuSと有機ポリマーの界面からの距離の関数として示すグラフ1600である。電荷キャリア濃度1602は、界面からの距離(x)の減少関数として示されている。このグラフ1600は、外部電圧 V=0および電流J=0を仮定している。電荷キャリア濃度1602は、定常場を仮定して、式6を用いて導出される。しかし、図示されている点は定常場の仮定とは独立している。
次に図17を参照すると、本発明の一態様による、代表的な有機メモリセルの電荷キャリア分布1702を示す別のグラフ1700が示されている。このグラフ1700では、順電圧=0.12Vおよび電流フラックスJ=0の各パラメータが設定されている。CuS側は反対側(有機ポリマー)よりも電圧が高くなっている。これにより、電荷キャリアがCuS層から追われて、電荷キャリア濃度がxの増加関数となっている。この場合は、最小濃度p(0)においても、これが小さい値ではない(例えば、図15に示す例では最小値は3.32×1019/cmである)。このことは、順電圧の印加時にポリマーがよい導電体である理由の説明となる。この場合も、このプロットに、定電界モデルの式6が使用されている。図示されている点は、定電界の仮定とは独立している。
図18は、本発明の一態様による、代表的なメモリセルの電荷キャリア分布1800を、CuSと有機ポリマーの界面からの距離の関数として示す更に別のグラフ1802である。このグラフでは、パラメータが逆電圧=0.28V、電流J=0に設定されている。逆電圧下では、電荷キャリアがCuSとポリマーの界面に集中し、界面から離れると急激に濃度が低下しており、このことは、逆電圧が印加されるとメモリセルが非導電性となる理由を説明している。この場合も、このプロットに、式6の定電界モデルが仮定されている。図示されている点は、この仮定とは独立している。
次に図19を参照すると、本発明の一態様による、代表的なメモリセルの電荷キャリア分布1902を距離の関数として示す別のグラフ1900が示されている。このグラフ1900では、順電圧=0.52Vおよび電流フラックスJ>0(P=1018/cm)の各パラメータが設定されている。電流フラックスJ>0の場合も、順電圧によって電荷キャリアがCuS界面から追い出されるため、電荷キャリアはxの増加関数になっている。重要な点は、最低濃度p(x)が界面に存在するという点である。
図20は、代表的なメモリセルの界面における電荷キャリア濃度2002を順電圧Vの関数として示す更に別のグラフ2000である。このグラフでは、パラメータがJ>0(p=1018/cm)であり定電界モデルを仮定している。このモデルは、セル内の電界が一定であることを仮定している。このため、電圧V(x)は線形関数として記述される。このモデルは、ポリマーの拡散係数が小さく、電気抵抗が一定の場合に適用される。このモデルでは、界面における電荷キャリア濃度は、電圧の関数として導出される。順電圧が充分高く、電流が、界面での電荷注入ではなく電荷キャリアによって制御された後は、p(V)は一定になる傾向を示すという点に留意されたい。このようにして、p(0)は以下のように書き換えることができる。
Figure 0005144254
この式10は、p(0)を限定しているのが、CuS層とポリマー層の膜厚の比率の増加関数であることを示している。
図21は、本発明の一態様による、代表的なメモリセルの界面における電荷キャリア濃度2102を順電圧Vの関数として示す別のグラフ2100を示している。このグラフ2100では、p(0)は順電圧の関数であり、電流Jは>0であっても、そうでなくてもよく、階段ポテンシャル関数モデルである。このモデルは、電圧V(x)関数が階段関数によって記述されることを仮定している。このモデルは、ポリマーの拡散係数が非常に大きい場合に適用できる。このため、セル内の電気抵抗は非常に小さくなる。このモデルでは、界面における電荷キャリア濃度は、電圧の関数として導出される。図21では、順電圧が充分大きくなった後は、p(V)がゼロになる傾向がある点に留意されたい。界面における電荷キャリアが電流フラックスを制御している場合、この値は電圧の関数となる。このゼロ限界の挙動は、反応(1)によって設定される界面境界限界によって生じている。基本的には、界面から反対側への高速の電荷キャリア輸送が供給源界に達している。このため、制限しているp(0)は以下のように書き換えることができる。
Figure 0005144254
この場合も、p(0)はCuS層とポリマー層の膜厚の比率の増加関数となっている。
上記の議論に関して、制限フラックスがポリマー内に存在する場合、測定されるフラックスは電荷キャリアのドリフトによって決定されるという点に言及することが重要である。定電界の仮定においては、セル内の最低濃度が界面に存在するため、ポリマーが制限フラックスを決定している場合、電荷キャリア濃度を記述している関数p(x)・p=p(0)が満足される。この条件により、p(x)が一定となる。このことは、式5におけるフラックスへの拡散の寄与がゼロであることを意味している。階段ポテンシャルの仮定においては、電荷キャリア濃度p(x)の記述に別の関数が用いられる。初期電荷キャリア濃度p(0)は、他の領域よりも、比較的実質的に小さい値である。このため、この場合もJはp(0)によって決定される。注記すべき別の点は境界条件である。半導体とは異なり、これは、界面における濃度について当てはまり、ほかの場所では該当しない。この境界条件が、セル内で生成される電荷キャリアの総量を制限している。
上記式(式1〜7)と図18〜21は、ポリマーメモリセルの挙動を説明しモデリングするものである。このモデルを使用して測定データを説明することができ、CuS以外のほかの材料にも使用することができる。さらに、このモデルは、保持時間と応答時間を改善したり、トランジスタなどの他のデバイスの設計について考察するために使用することもできる。さらに、このモデルは、導電レベルの設定(例えば、状態の設定)、導電レベルの読出し、導電レベルの消去を行う、つまり、メモリセルの書込みまたはプログラミング、読出し、および消去の各動作を行う各種のしきい値電圧の決定にも使用することができる。
本発明の1つ以上の態様を記載した。当然、本発明を記載するため、考えられるすべての構成要素や方法を記載することは不可能であるが、当業者は、本発明の多くの別の組合せや置換が可能であることを理解するであろう。したがって、本発明は、添付の特許請求の範囲の趣旨ならびに範囲内に含まれるこのような変更、修正、および変形を含むことが意図される。さらに、本発明の特定の特徴を、幾つかの実装のうちの1つのみに関して開示したが、任意の用途または特定の用途に望ましくかつ有利な場合には、このような特徴が、別の実装の1つ以上の別の特徴と組み合わされてもよい。また、詳細な説明または特許請求の範囲で「備える(include)」との用語が使用される限り、この用語は「備える(comprising)」との用語と同じように用いられることが意図される。
本発明の方法とデバイスは半導体メモリおよび半導体製造の分野で有用である。
本発明の1つ以上の態様によってその一部が形成されうるメモリセルが形成されているウェハの一部を示す模式断面図である。 本発明の1つ以上の態様によってその一部が形成されうるメモリセルが形成されているウェハの一部を示す別の模式断面図である。 本発明の1つ以上の態様によって一部形成されうる、有機メモリセルから構成されうるものなどのメモリセルのアレイを示す図である。 本発明の1つ以上の態様によるメモリセルの形成における基板と誘電層の模式断面図である。 本発明の1つ以上の態様による、導電材料およびバリア層を有する図4と同様のメモリセルの形成を示す模式断面図である。 本発明の1つ以上の態様による、別の誘電層を有する図5と同様のメモリセルの形成を示す模式断面図である。 本発明の1つ以上の態様による、導電材料の上層からパッシブ層を形成する図6と同様のメモリセルの形成を示す別の模式断面図である。 本発明の1つ以上の態様による、有機層を有する図7と同様のメモリセルの形成を示す更に別の模式断面図である。 本発明の1つ以上の態様による、上部電極として機能する導電材料を有する図8と同様のメモリセルの形成を示す更に別の模式断面図である。 本発明の1つ以上の態様による、1つ以上のメモリセルの製造における導電層上にパッシブ層を形成するためのシステムを示す模式ブロック図である。 本発明の1つ以上の態様による、格子状にマップされたウェハの斜視図である。 本発明の1つ以上の態様による、ウェハの格子状にマップされた位置において取得した測定値のプロットを示す。 本発明の1つ以上の態様による、ウェハの格子状にマップされた位置のそれぞれにおいて取得した測定値に対応するエントリを含む表を示す。 本発明の1つ以上の態様による、1つ以上のメモリセルの製造における導電材料の上にパッシブ層を形成するための方法を示すフロー図である。 本発明の1つ以上の態様による、導電促進層とポリマー層の界面での固有電界の効果を示すグラフである。 本発明の1つ以上の態様による代表的なメモリセルの電荷キャリア分布を示すグラフである。 本発明の1つ以上の態様による代表的なメモリセルの電荷キャリア分布を示す別のグラフである。 本発明の1つ以上の態様による代表的なメモリセルの電荷キャリア分布を示す更に別のグラフである。 本発明の1つ以上の態様による代表的なメモリセルの電荷キャリア分布を示す更に別のグラフである。 本発明の1つ以上の態様による代表的なメモリセルの界面における電荷キャリア濃度を示すグラフである。 本発明の1つ以上の態様による代表的なメモリセルの界面における電荷キャリア濃度を示す別のグラフである。

Claims (9)

  1. 部電極と、パッシブ層と、有機層と、上部電極とを有するスタックを含む有機メモリセルの形成におけるインサイチュ表面処理のためのシステムであって、
    SF を含むフッ素(F)系ガスを処理チャンバに選択的に供給するガス供給システムと、
    導電材料の表面を、導電促進特性を有する硫化化合物を含むパッシブ層に変換するために、前記表面に作用するプラズマを前記チャンバ内に発生させるため、前記フッ素系ガスを電気的に励起する励起システムと、
    を備えるシステム。
  2. 前記パッシブ層は、硫化銅(CuS、CuS)および硫化銀(AgS、AgS)のうちの少なくとも1種類を含む、請求項1に記載のシステム。
  3. 前記表面は、前記有機メモリセルの形成が行われるウェハの全面にわたる誘電材料の1つ以上の層内に形成されたトレンチ内に配され、トレンチによって前記プラズマに露出される導電材料の堆積物の上部の一部である、請求項1に記載のシステム。
  4. 前記ウェハの基板に形成されたスタックが、前記有機メモリセルを形成しており、前記有機層と前記上部電極は前記トレンチ内に形成されている、請求項3に記載のシステム。
  5. 前記パッシブ層の下の前記導電材料は前記下部電極として働、請求項4に記載のシステム。
  6. 前記パッシブ層の形成を監視する測定システムと、
    前記測定システム、前記ガス供給システムおよび前記励起システムに動作可能に結合された制御システムとを備え
    前記制御システムは、前記測定によって得られた計測値を取得して、それに応じて、前記パッシブ層の所望の膜厚での生成、前記パッシブ層の所望の速度での生成、前記パッシブ層の所望の組成での生成、ならびに前記パッシブ層の所望の位置での生成の少なくとも1つを支援するために、前記ガス供給システムおよび前記励起システムの少なくとも一方を選択的に調整する、請求項1に記載のシステム。
  7. ウェハへの下部電極と、パッシブ層と、有機層と、上部電極とを有するスタックを含む有機メモリセルの形成において表面をインサイチュで処理する方法であって、
    SF を含むフッ素(F)系ガスを処理チャンバに選択的に供給するステップと、
    プラズマを生成するために前記フッ素系ガスを励起するステップと、
    前記プラズマとの作用によって、導電材料の表面を、導電促進特性を有する硫化化合物を含むパッシブ層に変換するステップと
    を有する、方法。
  8. 形成中の前記パッシブ層の膜厚、生成速度、組成および位置の少なくとも1つを測定するステップと、
    前記測定に応じて、前記チャンバ内の圧力、前記チャンバ内の温度、前記チャンバ内のガスの濃度、前記チャンバに導入するガスの流量、前記チャンバに分配するガスの体積、および前記チャンバ内に供給される励起の少なくとも1つを選択的に制御するステップとを更に有する、請求項7に記載の方法。
  9. 前記パッシブ層は、硫化銅(CuS、CuS)および硫化銀(AgS、AgS)のうちの少なくとも1種類を含み、前記方法は、
    約2.0〜2.21の屈折率、約5.7×10−2Ω/cmの比抵抗、600〜700nmにおける透過率が約60%の透明度、および約200〜600nmの膜厚の少なくとも1つを有するように前記パッシブ層を形成するステップを有する、請求項7に記載の方法。
JP2007506168A 2004-04-02 2005-02-11 メモリセル形成のためのインサイチュ表面処理 Expired - Fee Related JP5144254B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/817,131 2004-04-02
US10/817,131 US20050227382A1 (en) 2004-04-02 2004-04-02 In-situ surface treatment for memory cell formation
PCT/US2005/004654 WO2005104187A1 (en) 2004-04-02 2005-02-11 In-situ surface treatment for memory cell formation

Publications (2)

Publication Number Publication Date
JP2007533124A JP2007533124A (ja) 2007-11-15
JP5144254B2 true JP5144254B2 (ja) 2013-02-13

Family

ID=34961444

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007506168A Expired - Fee Related JP5144254B2 (ja) 2004-04-02 2005-02-11 メモリセル形成のためのインサイチュ表面処理

Country Status (8)

Country Link
US (1) US20050227382A1 (ja)
JP (1) JP5144254B2 (ja)
KR (1) KR101415283B1 (ja)
CN (1) CN100470716C (ja)
DE (1) DE112005000724T5 (ja)
GB (1) GB2425888A (ja)
TW (1) TWI363370B (ja)
WO (1) WO2005104187A1 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7091124B2 (en) 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
DE10355561A1 (de) * 2003-11-28 2005-06-30 Infineon Technologies Ag Halbleiteranordnung mit nichtflüchtigen Speichern
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7709958B2 (en) * 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
KR100913903B1 (ko) * 2004-12-24 2009-08-26 삼성전자주식회사 양자점을 이용하는 메모리 소자
JP2006202928A (ja) * 2005-01-19 2006-08-03 Nec Electronics Corp 半導体装置の製造方法
US20060194400A1 (en) * 2005-01-21 2006-08-31 Cooper James A Method for fabricating a semiconductor device
US7154769B2 (en) * 2005-02-07 2006-12-26 Spansion Llc Memory device including barrier layer for improved switching speed and data retention
US7323418B1 (en) * 2005-04-08 2008-01-29 Spansion Llc Etch-back process for capping a polymer memory device
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US8308053B2 (en) 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
KR101167737B1 (ko) * 2006-02-22 2012-07-23 삼성전자주식회사 저항변화형 유기 메모리 소자 및 그의 제조방법
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
JP4906417B2 (ja) * 2006-07-11 2012-03-28 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US7629249B2 (en) * 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US8520194B2 (en) * 2006-11-29 2013-08-27 Macronix International Co., Ltd. Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process
US8184288B2 (en) * 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
WO2008088306A2 (en) * 2006-12-20 2008-07-24 Solid State Cooling, Inc. Thermoenergy devices and methods for manufacturing same
KR101221789B1 (ko) * 2006-12-28 2013-01-11 삼성전자주식회사 유기 메모리 소자 및 그의 제조방법
US8373148B2 (en) * 2007-04-26 2013-02-12 Spansion Llc Memory device with improved performance
US7687318B2 (en) * 2007-05-04 2010-03-30 Stats Chippac, Ltd. Extended redistribution layers bumped wafer
SG149710A1 (en) 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
KR100891227B1 (ko) 2007-10-25 2009-04-01 주식회사 동부하이텍 반도체 소자의 제조 방법
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) * 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
CN101232076B (zh) * 2008-01-17 2010-11-17 复旦大学 一种消除CuxO电阻存储器形成电压的方法
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5422237B2 (ja) * 2009-03-23 2014-02-19 株式会社東芝 不揮発性記憶装置の製造方法
US20110156012A1 (en) * 2009-11-12 2011-06-30 Sony Corporation Double layer hardmask for organic devices
US8847186B2 (en) * 2009-12-31 2014-09-30 Micron Technology, Inc. Self-selecting PCM device not requiring a dedicated selector transistor
JP5779138B2 (ja) * 2012-06-07 2015-09-16 株式会社東芝 分子メモリ
US10862023B2 (en) * 2018-07-30 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5946046A (ja) * 1982-09-09 1984-03-15 Fujitsu Ltd 半導体装置の製造方法
JPH01216577A (ja) * 1988-02-24 1989-08-30 Ricoh Co Ltd 半導体装置の製造方法
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
JPH05206083A (ja) * 1992-01-29 1993-08-13 Nec Corp 半導体装置の製造方法
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP4471243B2 (ja) * 1999-08-27 2010-06-02 東京エレクトロン株式会社 エッチング方法およびプラズマ処理方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6491835B1 (en) * 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
KR100347706B1 (ko) * 2000-08-09 2002-08-09 주식회사 코스타트반도체 이식성 도전패턴을 포함하는 반도체 패키지 및 그 제조방법
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100420129B1 (ko) * 2001-05-08 2004-03-02 사단법인 고등기술연구원 연구조합 다중전극 배열을 이용한 플라즈마 표면처리장치
US6768157B2 (en) * 2001-08-13 2004-07-27 Advanced Micro Devices, Inc. Memory device
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
JP4332881B2 (ja) * 2002-04-30 2009-09-16 独立行政法人科学技術振興機構 固体電解質スイッチング素子及びそれを用いたfpga、メモリ素子、並びに固体電解質スイッチング素子の製造方法
US6656763B1 (en) * 2003-03-10 2003-12-02 Advanced Micro Devices, Inc. Spin on polymers for organic memory devices

Also Published As

Publication number Publication date
CN100470716C (zh) 2009-03-18
TW200605145A (en) 2006-02-01
GB2425888A (en) 2006-11-08
JP2007533124A (ja) 2007-11-15
TWI363370B (en) 2012-05-01
WO2005104187A1 (en) 2005-11-03
KR101415283B1 (ko) 2014-07-16
GB0614319D0 (en) 2006-08-30
CN1961406A (zh) 2007-05-09
US20050227382A1 (en) 2005-10-13
DE112005000724T5 (de) 2007-02-22
KR20060134195A (ko) 2006-12-27

Similar Documents

Publication Publication Date Title
JP5144254B2 (ja) メモリセル形成のためのインサイチュ表面処理
US6798068B2 (en) MOCVD formation of Cu2S
Max et al. Direct correlation of ferroelectric properties and memory characteristics in ferroelectric tunnel junctions
US9870809B2 (en) Circuits and techniques to compensate memory access signals for variations of parameters in multiple layers of memory
US7550818B2 (en) Method of manufacture of a PCRAM memory cell
US9219166B2 (en) Nonvolatile flash memory structures including fullerene molecules and methods for manufacturing the same
US9847123B2 (en) Multi-bit ferroelectric memory device and methods of forming the same
JP2005294826A (ja) 電界プログラム可能記憶素子を有するメモリ・セル、およびそれを作動させる方法
US7015504B2 (en) Sidewall formation for high density polymer memory element array
CN100428519C (zh) 制造记忆胞元之方法、记忆胞元及记忆胞元装置
US20200295017A1 (en) Multi-level ferroelectric memory cell
US7012013B1 (en) Dielectric pattern formation for organic electronic devices
WO2008019616A1 (en) Electrical thin film memory
US10256273B2 (en) High density cross point resistive memory structures and methods for fabricating the same
Tan Experimental study of electron transport through nanometer-scale metal-oxide junctions
JP2008016513A (ja) メモリ装置

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20071122

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20071122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080205

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100324

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100412

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100818

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120313

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120712

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120824

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20120831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121113

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151130

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5144254

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees