JP5125768B2 - 電源網解析装置、電源網解析方法及び電源網解析プログラム - Google Patents

電源網解析装置、電源網解析方法及び電源網解析プログラム Download PDF

Info

Publication number
JP5125768B2
JP5125768B2 JP2008141402A JP2008141402A JP5125768B2 JP 5125768 B2 JP5125768 B2 JP 5125768B2 JP 2008141402 A JP2008141402 A JP 2008141402A JP 2008141402 A JP2008141402 A JP 2008141402A JP 5125768 B2 JP5125768 B2 JP 5125768B2
Authority
JP
Japan
Prior art keywords
power supply
network analysis
supply network
analysis target
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008141402A
Other languages
English (en)
Other versions
JP2009289062A (ja
Inventor
靖雄 天野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2008141402A priority Critical patent/JP5125768B2/ja
Priority to US12/320,287 priority patent/US8249849B2/en
Publication of JP2009289062A publication Critical patent/JP2009289062A/ja
Application granted granted Critical
Publication of JP5125768B2 publication Critical patent/JP5125768B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、集積回路の設計段階において、電源網の抵抗によって発生する電源電位の電圧降下を検出し、十分な電源を供給するための電圧が、各負荷素子に印可され得るか否かを解析する電源網解析装置、電源網解析方法及び電源網解析プログラムに関する。
近年、LSI(Large Scale Integration)等の集積回路の大規模化、配線の微細化、電源配線量の増加及び低電圧化により、集積回路の設計段階において、電源網解析が非常に重要となってきている。
電源網解析では、集積回路内において、電源から負荷素子へ至る網状の電源配線を、1つの電気抵抗と見なし、この電気抵抗による電圧降下(以下、IRドロップと呼ぶ。IRドロップは、オームの法則に基づく電圧降下のことを言う。なお“I”は、電流であり、“R”は抵抗を指す)の影響によって、十分な電圧が負荷素子に印可され得るか否かを解析する。
電源網解析は、解析実行時に、計算機のメモリを多く使用する。また、解析実行時間が膨大となるため、計算機資源が不足することとなり、解析できない問題や、問題となる電源網の検出解析や問題となる電源網エラー箇所の修正にかかる工数が増大する。このため、従来から、電源網解析を、精度良く、効率的に行う手法が、種々考案されてきた。
特開2003−233637号公報 特開2007−52591号公報
しかしながら、上記従来技術では、次の問題点があった。すなわち、近年、集積回路において、更なる回路の大規模化及び集積度向上が著しい。大規模化され集積度が高められた集積回路は、内部の各負荷素子を接続するための配線が微細化され、より複雑となっている。また、集積回路は、消費電力を抑制するための低電圧化が図られる様になってきている。この様な状況下では、上記従来技術では、集積回路の電源網解析を、精度良く、効率的に行うことが、困難になってきている。
本発明は、上記問題点(課題)を解消するためになされたものであって、大規模化され集積度が高められた集積回路であっても、電源網解析を、精度良く、効率的に行うことを可能にする電源網解析装置、電源網解析方法及び電源網解析プログラムを提供することを目的とする。
上述した問題を解決し、目的を達成するため、電源網解析装置、電源網解析方法及び電源網解析プログラムの一観点において、LSI等の集積回路のCAD(Computer Aided Design)等による設計情報に基づき、集積回路の電源網解析対象領域を、任意の分割電源網解析対象領域へと分割し、分割電源網解析対象領域に電流源として影響を与える境界隣接領域を抽出して分割電源網解析対象領域に付加し、境界隣接領域が付加された分割電源網解析対象領域の抵抗モデルに対して、電源網解析処理を行うことを要件とする。
開示の電源網解析装置、電源網解析方法及び電源網解析プログラムによれば、集積回路全体を分割するので、電源網解析を並列実行可能となり、短時間での解析が可能になるという効果を奏する。また、電源網解析を行いたい領域のみを短時間に解析実行可能になるという効果を奏する。また、集積回路を分割した境界部に影響を与える範囲を自動抽出して境界部に付加するので、高精度な電源網解析が可能になるという効果を奏する。延いては、集積回路の設計効率を高めるという効果を奏する。
[実施形態の一例]
以下に添付図面を参照し、電源網解析装置、電源網解析方法及び電源網解析プログラムにかかる実施形態の一例を詳細に説明する。以下の実施形態の一例では、集積回路は、CAD装置を使用して設計されるLSIであるとする。
なお、以下の実施形態の一例では、電源網解析装置は、CAD装置と別体の装置であるとする。若しくは、同一のコンピュータ上で稼働する別プログラムであるとする。しかし、これに限定されず、電源網解析装置は、CAD装置の付加機能を提供する装置として、CAD装置と一体の装置であってもよい。また、電源網解析プログラムは、CADプログラムの付加機能を提供する組み込みプログラムとして、CADプログラムと協働して動作するものであってもよい。
一般的に、LSIの電源網は、複雑化しているため、複数層に分けて配線が取り回されている。上下の層の配線を接続する部分を“ビア”と言う。最上層には、電源から電圧を印可される電源供給部が存在する。
また、最下層には、最上層の電源供給部から印可される電圧によって、各階層の配線と、各上下層を接続するビアとを経由して流れる電流を、負荷素子へと供給するビアが接続されている。すなわち、電源網は、電源供給部、配線及びビアで形成されるものである。
先ず、実施形態の一例にかかる電源網解析装置の構成を説明する。図1は、実施形態の一例にかかる電源網解析装置の構成を示す機能ブロック図である。同図に示す様に、実施形態の一例にかかる電源網解析装置100は、設計データ読み込み部101と、データ処理部102と、解析結果情報出力部103とを有する。
また、電源網解析装置100に、LSIの設計データを提供するCAD装置200は、LSIの負荷素子の配置設計を支援する配置設計部201と、配置設計部201によって配置された各負荷素子同士、又は、各負荷素子と電源とを接続する配線パターンの設計を支援する配線設計部202と、配置設計部201及び配線設計部202によって設計されたLSIの設計データを格納する設計データDB(Data Base)とを有する。
電源網解析装置100の設計データ取り込み部101は、CAD装置200の設計データDB203から、LSIの設計データを読み込み、データ処理部102へと受け渡すインターフェースである。
データ処理部102は、設計データ取り込み部101から受け渡されたLSIの設計データに基づき、LSIの電源網解析を行う。データ処理部102は、領域分割処理部102aと、修正箇所抽出処理部102bと、境界処理部102cと、モデル化処理部102dと、電源網解析処理部102eと、影響範囲部分除去処理部102fと、処理結果結合処理部102gとを有する。
領域分割処理部102aは、LSIの設計の修正箇所のみを対象として電源網解析を行う場合以外のとき、LSIの電源網解析対象領域を確定させるとともに、ユーザが指定した分割領域の数又はサイズに応じて、電源網解析対象領域を自動的に等分割する。若しくは、ユーザ指定に従って、電源網解析対象領域を分割する。
修正箇所抽出処理部102bは、LSIの設計の修正箇所のみを対象として電源網解析を行う場合に、LSIの設計データから修正箇所を抽出する処理を行う。
境界処理部102cは、領域分割処理部102aによって分割された分割領域と隣接する、又は、修正箇所抽出処理部102bによって抽出された修正箇所と隣接する電源網領域との境界に電気的に影響を与え得る電源網の影響範囲部分を抽出して付加する。
モデル化処理部102dは、境界処理部102cによって、影響範囲部分が付加された分割領域又は修正箇所を、抵抗モデル化する処理を行う。抵抗モデル化処理は、例えば、周知技術を利用して行われる。
電源網解析処理部102eは、例えば、行列演算を行う周知技術を利用して、モデル化処理部102dによってモデル化された抵抗モデルを解析し、負荷素子への電流源となる各ビアの電位を算出する。なお、各ビアの電位は、LSIごとに定められた基準値以上とならなければ、LSIは正常に作動しない。
影響範囲部分除去処理部102fは、境界処理部102cによって、分割領域又は修正箇所と隣接する電源網領域の電源網解析結果を除去する。処理結果結合処理部102gは、影響範囲部分除去処理部102fによって分割領域又は修正箇所と隣接する電源網領域の電源網解析結果が除去された分割領域又は修正箇所の電源網解析結果を、電源網解析対象全体の処理結果に統合する。
なお、全ての分割領域又は修正箇所の電源網解析が終了するまで、対象とする分割領域又は修正箇所について、境界処理部102c、モデル化処理部102d、電源網解析処理部102e、影響範囲部分除去処理部102f、処理結果結合処理部102gは、同様の処理を繰り返す。
処理結果結合処理部102gは、全ての対象とする分割領域又は修正箇所について、電源網解析が終了したならば、統合された電源網解析対象全体の処理結果を、解析結果情報出力部103へと受け渡す。
解析結果情報出力部103は、処理結果結合処理部102gから受け渡された電源網解析対象全体の処理結果に、IRドロップが含まれているか否かを判定し、判定結果をCAD装置200の配置設計部201及び/又は配線設計部202へとフィードバック出力する。
配置設計部201及び/又は配線設計部202は、フィードバックされた電源網解析対象全体の処理結果に基づき、LSIの修正該当部分の設計の修正を支援する。このようにして修正された設計データは、再び、設計データDB203へと格納される。
次に、図1に示した電源網解析装置100で行われる電源網解析処理について説明する。図2は、電源網解析処理手順を示すフローチャートである。以下では、電源網解析装置100における処理を電源網解析処理と呼び、CAD装置200における処理を設計支援処理と呼ぶ。
図2に示す様に、CAD装置の配置設計部201は、LSIの負荷素子の配置設計を支援する(ステップS201)。続いて、CAD装置の配線設計部202は、LSIの配線設計を支援する(ステップS202)。続いて、設計データDB203は、配置設計部201及び配線設計部202によって設計支援されたLSIの設計データを格納する(ステップS203)。
一方、電源網解析装置100では、先ず、設計データ読み込み部101は、設計データDB203から、電源網解析対象であるLSIの設計データを読み出す(ステップS101)。
続いて、データ処理部102の領域分割処理部102aは、LSIの設計データの修正箇所を解析するか否かを判定する。LSIの設計データの修正箇所を解析すると判定された場合に(ステップS102肯定)、ステップS103へ移り、LSIの設計データの修正箇所を解析すると判定されなかった場合に(ステップS102否定)、ステップS104へ移る。
ステップS104では、修正箇所抽出処理部102bは、LSIの設計データの修正箇所を全て抽出する。一方、ステップS104では、領域分割処理部102aは、分割領域指定処理を行って、電源網解析対象領域を、電源網解析対象分割領域へと分割する。分割領域指定処理の詳細については、図3を参照して後述する。ステップS103又はステップS104が終了すると、ステップS105へ移る。
ステップS105では、領域分割処理部102aは、ステップS104の処理によって分割された全ての電源網解析対象分割領域を解析したか否かを判定する。全ての電源網解析対象分割領域を解析したと判定された場合に(ステップS105肯定)、ステップS106へ移り、全ての電源網解析対象分割領域を解析したと判定されなかった場合に(ステップS105否定)、ステップS111へ移る。
ステップS106では、境界処理部102cは、電源網解析対象分割領域の分割の境界部に電気的な影響を与える影響範囲部分を付加する境界処理を行う。境界処理の詳細は、図4を参照して後述する。続いて、モデル化処理部102dは、電源網解析対象分割領域の電源網の設計データに基づいて、抵抗モデルを作成する(ステップS107)。
続いて、電源網解析処理部102eは、ステップS107で作成された抵抗モデルに対して、電源網解析処理を行う(ステップS108)。続いて、影響範囲部分除去処理部102fは、ステップS108の処理による電源網解析結果に含まれる、影響範囲部分に関する電源網解析結果を取り除き、電源網解析対象分割領域のみの電源網解析結果を取得する(ステップS109)。
続いて、処理結果結合処理部102gは、ステップS109によって取得された電源網解析対象分割領域のみの電源網解析結果を、電源網解析対象領域の全体結果に結合する(ステップS110)。この処理が終了すると、ステップS105へ移る。
ステップS106〜ステップS110の処理を、全ての電源網解析対象分割領域に対して、順次行うことによって、最終的に、電源網解析対象領域全体の電源網解析結果が得られることとなる。
なお、ステップS106〜ステップS110の処理は、電源網解析対象分割領域毎に、並行して行ってもよい。このように、電源網解析対象分割領域の電源網解析処理を並行して行うことによって、電源網解析対象領域全体の電源網解析結果が、より速く得られることとなる。
ステップS111では、解析結果情報出力部103は、電源網解析対象領域全体の電源網解析結果にIRドロップエラーが含まれるか否かを判定する。電源網解析対象領域全体の電源網解析結果にIRドロップエラーが含まれると判定された場合に(ステップS111肯定)、CAD装置200において、配置設計処理(ステップS201)及び/又は配線設計処理(ステップS202)を再度実行することとなる。
一方、電源網解析対象領域全体の電源網解析結果にIRドロップエラーが含まれると判定されなかった場合に(ステップS111否定)、ステップS112へ移る。ステップS112では、解析結果情報出力部103は、CAD装置200に対して、設計終了通知を送信する。
電源網解析装置100の解析結果情報出力部103から設計終了通知を受信したCAD装置200は、設計支援処理を終了する(ステップS204肯定)。
次に、図2のステップS104で示した分割領域指定処理について説明する。図3は、分割領域指定処理手順を示すフローチャートである。同図に示す様に、先ず、領域分割処理部102aは、ユーザ指定に基づき、電源網解析対象領域を自動分割するか否かを判定する(ステップS121)。
続いて、領域分割処理部102aは、ユーザ指定に基づき、電源網解析対象領域の分割数又は分割サイズを指示する(ステップS122)。続いて、領域分割処理部102aは、電源網解析対象領域の分割数又は分割サイズの指示に応じて、電源網解析対象領域を、電源網解析対象分割領域へと等分割する(ステップS123)。一方、ステップS124では、領域分割処理部102aは、ユーザ指定に基づき、電源網解析対象領域である検証対象領域を設定する。
次に、図2のステップS106で示した境界処理について説明する。図4は、境界処理手順を示すフローチャートである。同図に示す様に、先ず、境界処理部102cは、電源網解析対象分割領域の境界位置を基準位置に設定する(ステップS131)。
続いて、境界処理部102cは、基準位置とした境界位置を含む配線が、階層構造の最上位配線層の配線であるか否かを判定する(ステップS132)。基準位置とした境界位置を含む配線が、階層構造の最上位配線層の配線であると判定された場合に(ステップS132肯定)、電源網解析処理へ復帰し、階層構造の最上位配線層の配線であると判定されなかった場合に(ステップS132否定)、ステップS133へ移る。
ステップS133では、境界処理部102cは、ステップS131で設定された基準位置から外側(すなわち、電源網解析対象分割領域外)の上位層側のビアを検索する。続いて、境界処理部102cは、ステップS133で検出されたビアの位置までの配線を、電源網解析対象分割領の境界に付加する(ステップS134)。
続いて、境界処理部102cは、ステップS133で検出されたビアの位置を、新たな基準位置として設定する(ステップS135)。続いて、境界処理部102cは、ステップS135で、新たな基準位置として設定されたビアに沿って1段階上層の上位配線層の配線を、ビアを検索する対象の配線とする(ステップS136)。この処理が終了すると、ステップS132へ移る。
なお、LSIと、電源網解析対象領域Rと、電源網解析対象分割領域rとの位置関係は、図5に示す通りである。電源網解析対象分割領域rを拡大すると、層状に配置される配線Lと、配線Lの所定位置に配置される電源供給部Vとが含まれていることが分かる。なお、電源供給部Vは、電圧を印可して、LSIに電源を供給する部分である。
次に、分割領域の境界部への影響範囲の抽出例について説明する。図6−1は、分割領域の境界部への影響範囲の抽出例(その1)を示す図である。図6−2は、分割領域の境界部への影響範囲の抽出例(その2)を示す図である。なお、図6−2は、図6−1に比べて、分割領域の境界部への影響範囲を広く取って、電源網解析の精度をより向上させるケースを示す。
なお、図6−1及び図6−2では、負荷素子への電流源側を下位配線層、電源供給部V側を上位配線層とする。また、配線Lは、第1層〜第4層までの階層構造を形成しているとする。第1層の下側には、負荷素子への電流源となるビアが接続されている。第4層の上側には、LSIに電源を接続する端子である電源供給部Vが接続されている。
[最下層の配線の境界部から出発して影響範囲を取得する手法]
図6−1の第1層に対し、領域分割時の境界部から外側方向へ第1層〜第2層間のビアを検索する。検出されたビアVIA(1)の座標を保持し、該当座標の位置で第1層の配線Lを分割する。分割された配線Lを境界部に接続する。そして、第1層の分割位置までに存在する下位配線層側に接続するビアVIAを取得する。取得されたビアVIAを第1層の配線に接続する。
図6−1の第2層に対し、保持したビアVIA(1)の座標を起点として、境界から外側方向へ、第2層〜第3層間のビアVIAを検索する。そして、検出されたビアVIA(2)の座標を保持し、該当座標の位置で第2層の配線を分割する。
分割された第2層の配線Lを境界部に接続し、第2層の分割位置までに存在する下位配線層(第1層)側に接続される第1層〜第2層間のビアVIAを取得する。取得されたビアVIAを、第2層の配線に接続する。第3層及び第4層についても、同様の処理を行って、ビアVIA(3)、及び、電源供給部Vが接続されたビアVIA(4)までを取得して、境界部に接続する。以上が、最下層の配線の境界部から出発して影響範囲を取得する手法である。
[最上層の電源供給部が接続されたビアから出発して影響範囲を取得する手法]
さらに高精度な解析を行なう場合(図6−2)は、ビアVIA(4)に接する第4層に対し、第3層〜第4層間のビアVIAを検索する。検出されたビアVIA(5)の座標を保持し、該当座標の位置で、第4層の配線を分割する。
分割された第4層の配線を、上記までの処理で取得した配線に接続し、第4層の分割位置までに存在する下位配線層(第3層)側に接続される第3層〜第4層間のビアVIA(5)を取得する。ビアVIA(5)を第4層の配線に接続する。
図6−2の第3層に対し、ビアVIA(5)の座標から境界より外側方向へ第2層〜第3層間のビアVIAを検索する。そして、検出されたビアVIA(6)の座標を保持し、該当座標の位置で、第3層の配線を分割する。
分割された第3層の配線を、配線にさらに接続し、第3層の分割位置までに存在する下位配線層(第2層)側に接続される第2層〜第3層間のビアVIA(6)を取得する。ビアVIA(6)を、第3層の配線に接続する。
以上の処理を電流源となるビアVIAまで繰り返し行ない、取得した配線およびビアVIAを、第1層の配線に接続する。
さらに、取得された第1層の分割位置から境界より外側方向へ第1層〜第2層間のビアVIAを検索する。検出されたビアVIA(7)の座標を保持し、該当する座標の位置で第1層の配線を分割する。
分割された第1層の配線を、以上の処理で取得した第1層の配線にさらに接続し、第1層の分割位置までに存在する下位配線層側に接続される電流源であるビアを取得する。そのビアを第1層の配線に接続する。以上が、最上層の電源供給部が接続されたビアから出発して影響範囲を取得する手法である。
電源網解析の精度を上げるためには、上記[最下層の配線の境界部から出発して影響範囲を取得する手法]から始めて、上記[最上層の電源供給部が接続されたビアから出発して影響範囲を取得する手法]及び上記[最下層の配線の境界部から出発して影響範囲を取得する手法]を交互に繰り返すことによって、より広く影響範囲を抽出することができ、電源網解析の精度をより高めることができる。なお、影響範囲の抽出条件は、外部から設定変更が可能である。
以上の実施形態の一例によれば、LSI全体を分割し、並列して電源網解析を実行することで短時間での解析終了が可能になる。また、電源網を解析したい領域のみを選択的に解析することによって、短時間で電源網解析を実行することができる。
また、境界部に影響を与える範囲を自動抽出し、境界部に付加することで、高精度な電源網解析が可能になる。また、電源網解析時のメモリ等の計算機資源を多く必要とすることなく、処理時間を短縮できる。
また、部分的に電源網解析ができるため、電源網解析及びLSIの設計修正にかかるTAT(Turn Around Time)の改善を図ることができるので、LSI設計の効率化を図ることができる。
以上、本発明の実施形態の一例を説明したが、本発明は、これに限られるものではなく、特許請求の範囲に記載した技術的思想の範囲内で、更に種々の異なる実施形態の一例で実施されてもよいものである。また、実施形態の一例に記載した効果は、これに限定されるものではない。
また、上記実施形態の一例において説明した各処理のうち、自動的におこなわれるものとして説明した処理の全部または一部を手動的におこなうこともでき、あるいは、手動的におこなわれるものとして説明した処理の全部または一部を公知の方法で自動的におこなうこともできる。この他、上記実施形態の一例で示した処理手順、制御手順、具体的名称、各種のデータやパラメータを含む情報については、特記する場合を除いて任意に変更することができる。
また、図示した各装置の各構成要素は機能概念的なものであり、必ずしも物理的に図示のように構成されていることを要しない。すなわち、各装置の分散・統合の具体的形態は図示のものに限られず、その全部または一部を、各種の負荷や使用状況などに応じて、任意の単位で機能的または物理的に分散・統合して構成することができる。
さらに、各装置にて行なわれる各処理機能は、その全部または任意の一部が、CPU(Central Processing Unit)(またはMPU(Micro Processing Unit)、MCU(Micro Controller Unit)などのマイクロ・コンピュータ)およびCPU(またはMPU、MCUなどのマイクロ・コンピュータ)にて解析実行されるプログラムにて実現されてもよいし、ワイヤードロジックによるハードウェアとして実現されてもよい。
以上の実施形態の一例を含む実施形態に関し、さらに以下の付記を開示する。
(付記1)集積回路の設計情報に基づき、前記集積回路の電源網解析対象領域を、分割電源網解析対象領域へと分割する解析対象領域分割処理部と、
前記解析対象領域分割処理部によって分割された分割電源網解析対象領域に電気的に影響を与える境界隣接領域を抽出し、前記境界隣接領域を前記分割電源網解析対象領域に付加する隣接境界領域抽出付加処理部と、
前記隣接境界領域抽出付加処理部によって前記境界隣接領域が付加された前記分割電源網解析対象領域の抵抗モデルを生成する抵抗モデル生成処理部と、
前記抵抗モデル生成処理部によって生成された前記抵抗モデルに対して、電源網解析処理を行う電源網解析処理部と
を有することを特徴とする電源網解析装置。
(付記2)前記解析対象領域分割処理部は、ユーザ指示の分割数又は分割サイズに基づき、前記集積回路の電源網解析対象領域を、前記分割電源網解析対象領域へと、自動的に等分割することを特徴とする付記1記載の電源網解析装置。
(付記3)前記隣接境界領域抽出付加処理部は、前記解析対象領域分割処理部によって分割された分割電源網解析対象領域に電気的に影響を与える電流源及び/又は電源供給源を抽出することによって、前記境界隣接領域を抽出することを特徴とする付記1又は2記載の電源網解析装置。
(付記4)前記電源網解析処理部による前記抵抗モデルの解析結果から、前記境界隣接領域を除去する境界隣接領域解析結果除去処理部と、
前記境界隣接領域解析結果除去処理部によって除去された前記抵抗モデルの解析結果を、前記集積回路の電源網解析対象領域全体の電源網解析結果に統合する電源網解析結果統合処理部と
をさらに有することを特徴とする付記1、2又は3記載の電源網解析装置。
(付記5)前記電源網解析結果統合処理部によって統合された前記集積回路の電源網解析対象領域全体の電源網解析結果に基づいて、前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かを判定する印可電圧判定処理部をさらに有することを特徴とする付記4記載の電源網解析装置。
(付記6)前記印可電圧判定処理部による前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かの判定結果を、前記集積回路の設計を支援するコンピュータ設計支援装置へと通知する通知手段をさらに有することを特徴とする付記5記載の電源網解析装置。
(付記7)集積回路の設計情報に基づき、前記集積回路の電源網解析対象領域を、分割電源網解析対象領域へと分割する解析対象領域分割処理ステップと、
前記解析対象領域分割処理ステップによって分割された分割電源網解析対象領域に電気的に影響を与える境界隣接領域を抽出し、前記境界隣接領域を前記分割電源網解析対象領域に付加する隣接境界領域抽出付加処理ステップと、
前記隣接境界領域抽出付加処理ステップによって前記境界隣接領域が付加された前記分割電源網解析対象領域の抵抗モデルを生成する抵抗モデル生成処理ステップと、
前記抵抗モデル生成処理ステップによって生成された前記抵抗モデルに対して、電源網解析処理を行う電源網解析処理ステップと
を含むことを特徴とする電源網解析方法。
(付記8)前記解析対象領域分割処理ステップは、ユーザ指示の分割数又は分割サイズに基づき、前記集積回路の電源網解析対象領域を、前記分割電源網解析対象領域へと、自動的に等分割することを特徴とする付記7記載の電源網解析方法。
(付記9)前記隣接境界領域抽出付加処理ステップは、前記解析対象領域分割処理ステップによって分割された分割電源網解析対象領域に電気的に影響を与える電流源及び/又は電源供給源を抽出することによって、前記境界隣接領域を抽出することを特徴とする付記7又は8記載の電源網解析方法。
(付記10)前記電源網解析処理ステップによる前記抵抗モデルの解析結果から、前記境界隣接領域を除去する境界隣接領域解析結果除去処理ステップと、
前記境界隣接領域解析結果除去処理ステップによって除去された前記抵抗モデルの解析結果を、前記集積回路の電源網解析対象領域全体の電源網解析結果に統合する電源網解析結果統合処理ステップと
をさらに含むことを特徴とする付記7、8又は9記載の電源網解析方法。
(付記11)前記電源網解析結果統合処理ステップによって統合された前記集積回路の電源網解析対象領域全体の電源網解析結果に基づいて、前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かを判定する印可電圧判定処理ステップをさらに含むことを特徴とする付記10記載の電源網解析方法。
(付記12)前記印可電圧判定処理ステップによる前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かの判定結果を、前記集積回路の設計を支援するコンピュータ設計支援装置へと通知する通知手段をさらに含むことを特徴とする付記11記載の電源網解析方法。
(付記13)集積回路の設計情報に基づき、前記集積回路の電源網解析対象領域を、分割電源網解析対象領域へと分割する解析対象領域分割処理手順と、
前記解析対象領域分割処理手順によって分割された分割電源網解析対象領域に電気的に影響を与える境界隣接領域を抽出し、前記境界隣接領域を前記分割電源網解析対象領域に付加する隣接境界領域抽出付加処理手順と、
前記隣接境界領域抽出付加処理手順によって前記境界隣接領域が付加された前記分割電源網解析対象領域の抵抗モデルを生成する抵抗モデル生成処理手順と、
前記抵抗モデル生成処理手順によって生成された前記抵抗モデルに対して、電源網解析処理を行う電源網解析処理手順と
をコンピュータに実行させることを特徴とする電源網解析プログラム。
(付記14)前記解析対象領域分割処理手順は、ユーザ指示の分割数又は分割サイズに基づき、前記集積回路の電源網解析対象領域を、前記分割電源網解析対象領域へと、自動的に等分割することを特徴とする付記13記載の電源網解析プログラム。
(付記15)前記隣接境界領域抽出付加処理手順は、前記解析対象領域分割処理手順によって分割された分割電源網解析対象領域に電気的に影響を与える電流源及び/又は電源供給源を抽出することによって、前記境界隣接領域を抽出することを特徴とする付記13又は14記載の電源網解析プログラム。
(付記16)前記電源網解析処理手順による前記抵抗モデルの解析結果から、前記境界隣接領域を除去する境界隣接領域解析結果除去処理手順と、
前記境界隣接領域解析結果除去処理手順によって除去された前記抵抗モデルの解析結果を、前記集積回路の電源網解析対象領域全体の電源網解析結果に統合する電源網解析結果統合処理手順と
をさらに前記コンピュータに実行させることを特徴とする付記13、14又は15記載の電源網解析プログラム。
(付記17)前記電源網解析結果統合処理手順によって統合された前記集積回路の電源網解析対象領域全体の電源網解析結果に基づいて、前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かを判定する印可電圧判定処理手順をさらに前記コンピュータに実行させることを特徴とする付記16記載の電源網解析プログラム。
(付記18)前記印可電圧判定処理手順による前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かの判定結果を、前記集積回路の設計を支援するコンピュータ設計支援装置へと通知する通知手段をさらに前記コンピュータに実行させることを特徴とする付記17記載の電源網解析プログラム。
実施形態の一例にかかる電源網解析装置の構成を示す機能ブロック図である。 電源網解析処理手順を示すフローチャートである。 分割領域指定処理手順を示すフローチャートである。 境界処理手順を示すフローチャートである。 分割領域のイメージを示す図である。 分割領域の境界部への影響範囲の抽出例(その1)を示す図である。 分割領域の境界部への影響範囲の抽出例(その2)を示す図である。
符号の説明
100 電源網解析装置
101 設計データ読み込み部
102 データ処理部
102a 領域分割処理部
102b 修正箇所抽出処理部
102c 境界処理部
102d モデル化処理部
102e 電源網解析処理部
102f 影響範囲部分除去処理部
102g 処理結果結合処理部
103 解析結果情報出力部
200 CAD装置
201 配置設計部
202 配線設計部
203 設計データDB
L 配線
R 電源網解析対象領域
r 電源網解析対象分割領域
V 電源供給部
VIA ビア

Claims (8)

  1. 集積回路の設計情報に基づき、前記集積回路の電源網解析対象領域を、分割電源網解析対象領域へと分割する解析対象領域分割処理部と、
    前記解析対象領域分割処理部によって分割された分割電源網解析対象領域に電気的に影響を与える境界隣接領域を抽出し、前記境界隣接領域を前記分割電源網解析対象領域に付加する隣接境界領域抽出付加処理部と、
    前記隣接境界領域抽出付加処理部によって前記境界隣接領域が付加された前記分割電源網解析対象領域の抵抗モデルを生成する抵抗モデル生成処理部と、
    前記抵抗モデル生成処理部によって生成された前記抵抗モデルに対して、電源網解析処理を行う電源網解析処理部と
    を有することを特徴とする電源網解析装置。
  2. 前記解析対象領域分割処理部は、ユーザ指示の分割数又は分割サイズに基づき、前記集積回路の電源網解析対象領域を、前記分割電源網解析対象領域へと、自動的に等分割することを特徴とする請求項1記載の電源網解析装置。
  3. 前記隣接境界領域抽出付加処理部は、前記解析対象領域分割処理部によって分割された分割電源網解析対象領域に電気的に影響を与える電流源及び/又は電源供給源を抽出することによって、前記境界隣接領域を抽出することを特徴とする請求項1又は2記載の電源網解析装置。
  4. 前記電源網解析処理部による前記抵抗モデルの解析結果から、前記境界隣接領域に関する抵抗モデルの解析結果を除去する境界隣接領域解析結果除去処理部と、
    前記境界隣接領域解析結果除去処理部によって除去された前記抵抗モデルの解析結果を、前記集積回路の電源網解析対象領域全体の電源網解析結果に統合する電源網解析結果統合処理部と
    をさらに有することを特徴とする請求項1、2又は3記載の電源網解析装置。
  5. 前記電源網解析結果統合処理部によって統合された前記集積回路の電源網解析対象領域全体の電源網解析結果に基づいて、前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かを判定する印可電圧判定処理部をさらに有することを特徴とする請求項4記載の電源網解析装置。
  6. 前記印可電圧判定処理部による前記電源網解析対象領域内の負荷素子への印可電圧が正常であるか否かの判定結果を、前記集積回路の設計を支援するコンピュータ設計支援装置へと通知する通知手段をさらに有することを特徴とする請求項5記載の電源網解析装置。
  7. 電源網解析装置が実行する電源網解析方法であって、
    記憶部から読み出した集積回路の設計情報に基づき、前記集積回路の電源網解析対象領域を、分割電源網解析対象領域へと分割する解析対象領域分割処理ステップと、
    前記解析対象領域分割処理ステップによって分割された分割電源網解析対象領域に電気的に影響を与える境界隣接領域を抽出し、前記境界隣接領域を前記分割電源網解析対象領域に付加する隣接境界領域抽出付加処理ステップと、
    前記隣接境界領域抽出付加処理ステップによって前記境界隣接領域が付加された前記分割電源網解析対象領域の抵抗モデルを生成する抵抗モデル生成処理ステップと、
    前記抵抗モデル生成処理ステップによって生成された前記抵抗モデルに対して、電源網解析処理を行う電源網解析処理ステップと
    を含むことを特徴とする電源網解析方法。
  8. 集積回路の設計情報に基づき、前記集積回路の電源網解析対象領域を、分割電源網解析対象領域へと分割する解析対象領域分割処理手順と、
    前記解析対象領域分割処理手順によって分割された分割電源網解析対象領域に電気的に影響を与える境界隣接領域を抽出し、前記境界隣接領域を前記分割電源網解析対象領域に付加する隣接境界領域抽出付加処理手順と、
    前記隣接境界領域抽出付加処理手順によって前記境界隣接領域が付加された前記分割電源網解析対象領域の抵抗モデルを生成する抵抗モデル生成処理手順と、
    前記抵抗モデル生成処理手順によって生成された前記抵抗モデルに対して、電源網解析処理を行う電源網解析処理手順と
    をコンピュータに実行させることを特徴とする電源網解析プログラム。
JP2008141402A 2008-05-29 2008-05-29 電源網解析装置、電源網解析方法及び電源網解析プログラム Expired - Fee Related JP5125768B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008141402A JP5125768B2 (ja) 2008-05-29 2008-05-29 電源網解析装置、電源網解析方法及び電源網解析プログラム
US12/320,287 US8249849B2 (en) 2008-05-29 2009-01-22 Power source network analyzing apparatus, power source network analyzing method, and power source network analyzing program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008141402A JP5125768B2 (ja) 2008-05-29 2008-05-29 電源網解析装置、電源網解析方法及び電源網解析プログラム

Publications (2)

Publication Number Publication Date
JP2009289062A JP2009289062A (ja) 2009-12-10
JP5125768B2 true JP5125768B2 (ja) 2013-01-23

Family

ID=41380859

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008141402A Expired - Fee Related JP5125768B2 (ja) 2008-05-29 2008-05-29 電源網解析装置、電源網解析方法及び電源網解析プログラム

Country Status (2)

Country Link
US (1) US8249849B2 (ja)
JP (1) JP5125768B2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5434355B2 (ja) * 2009-08-11 2014-03-05 富士通セミコンダクター株式会社 設計支援プログラム、設計支援装置、および設計支援方法
JP5471872B2 (ja) 2010-06-18 2014-04-16 富士通株式会社 電源回路解析装置,電源回路解析プログラムおよび電源回路解析方法
US8689157B1 (en) * 2012-06-28 2014-04-01 Cadence Design Systems, Inc. Extracting capacitance and resistance from FinFET devices
US20150195912A1 (en) * 2014-01-08 2015-07-09 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Substrates With Ultra Fine Pitch Flip Chip Bumps
KR102276908B1 (ko) * 2014-12-05 2021-07-13 삼성전자주식회사 반도체 장치의 파워 노이즈 해석 방법을 수행하는 프로그램을 실행 가능한 컴퓨팅 장치, 상기 방법을 포함하는 반도체 장치의 설계 방법, 및 상기 프로그램을 저장하는 프로그램 저장 매체
CN115358173B (zh) * 2022-10-21 2023-04-07 芯和半导体科技(上海)股份有限公司 一种芯片封装电源网络电磁建模方法及系统
CN116314183B (zh) * 2023-05-17 2023-08-29 之江实验室 晶圆基板电源完整性的优化方法、晶圆基板及晶上系统
CN116522857B (zh) * 2023-07-03 2023-09-08 上海韬润半导体有限公司 一种电源网络最优方案的选择方法和系统

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2901087B2 (ja) * 1989-10-17 1999-06-02 株式会社東芝 半導体集積回路の電源配線設計方法及び電源配線設計装置
US5761076A (en) * 1994-04-19 1998-06-02 Hitachi, Ltd. Method for evaluating a driving characteristic of a device for a wiring, based upon lower order coefficients of series expansion form of complex admittance of the wiring
US5629860A (en) * 1994-05-16 1997-05-13 Motorola, Inc. Method for determining timing delays associated with placement and routing of an integrated circuit
US5798937A (en) * 1995-09-28 1998-08-25 Motorola, Inc. Method and apparatus for forming redundant vias between conductive layers of an integrated circuit
US6086238A (en) * 1996-10-07 2000-07-11 International Business Machines Corporation Method and system for shape processing within an integrated circuit layout for parasitic capacitance estimation
US5826215A (en) * 1997-01-14 1998-10-20 International Business Machines Corporation Method and computer program product for stable integral equation models
US5956497A (en) * 1997-02-26 1999-09-21 Advanced Micro Devices, Inc. Methodology for designing an integrated circuit using a reduced cell library for preliminary synthesis
US6080204A (en) * 1997-10-27 2000-06-27 Altera Corporation Method and apparatus for contemporaneously compiling an electronic circuit design by contemporaneously bipartitioning the electronic circuit design using parallel processing
US6691296B1 (en) * 1998-02-02 2004-02-10 Matsushita Electric Industrial Co., Ltd. Circuit board design aiding
US7016794B2 (en) * 1999-03-16 2006-03-21 Lsi Logic Corporation Floor plan development electromigration and voltage drop analysis tool
US6845346B1 (en) * 1999-12-09 2005-01-18 Intel Corporation Iterative method of parasitics estimation for integrated circuit designs
JP2001332825A (ja) * 2000-03-14 2001-11-30 Fuji Xerox Co Ltd 回路基板装置及び設計支援装置
JP2003233637A (ja) * 2002-02-08 2003-08-22 Fujitsu Ltd 半導体集積回路の電源電圧ドロップ・シミュレーション方法及び装置
US6904575B2 (en) * 2002-06-11 2005-06-07 International Business Machines Corporation Method for improving chip yields in the presence of via flaring
US7818157B2 (en) * 2002-06-19 2010-10-19 LS1 Corporation Instantaneous voltage drop sensitivity analysis tool (IVDSAT)
US6931613B2 (en) * 2002-06-24 2005-08-16 Thomas H. Kauth Hierarchical feature extraction for electrical interaction calculations
US7319946B2 (en) * 2002-10-21 2008-01-15 International Business Machines Corporation Method for on-chip signal integrity and noise verification using frequency dependent RLC extraction and modeling techniques
JP2004157584A (ja) * 2002-11-01 2004-06-03 Fujitsu Ltd 電源解析方法及びコンピュータプログラム
JP4018994B2 (ja) * 2003-02-17 2007-12-05 株式会社Nec情報システムズ 基板層数見積もりシステム、方法、プログラム
JP4460227B2 (ja) * 2003-03-10 2010-05-12 富士通マイクロエレクトロニクス株式会社 半導体集積回路
JP2004334654A (ja) * 2003-05-09 2004-11-25 Fujitsu Ltd 電源ノイズ解析モデル生成装置、電源ノイズ解析モデル生成方法、電源ノイズ解析モデル生成プログラム
US20080140379A1 (en) * 2003-05-22 2008-06-12 Xoomsys, Inc. Approximations for simulations of systems
US20060168551A1 (en) * 2003-06-30 2006-07-27 Sanyo Electric Co., Ltd. Integrated circuit having a multi-layer structure and design method thereof
US7603641B2 (en) * 2003-11-02 2009-10-13 Mentor Graphics Corporation Power/ground wire routing correction and optimization
US7000214B2 (en) * 2003-11-19 2006-02-14 International Business Machines Corporation Method for designing an integrated circuit having multiple voltage domains
US7401304B2 (en) * 2004-01-28 2008-07-15 Gradient Design Automation Inc. Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US7134106B2 (en) * 2004-04-09 2006-11-07 Incentia Design Systems Corp. Method and system for providing fast design for testability prototyping in integrated circuit designs
JP2006019690A (ja) * 2004-06-02 2006-01-19 Matsushita Electric Ind Co Ltd パターン解析方法及びパターン解析装置並びに歩留まり算出方法及び歩留まり算出装置
JP4086816B2 (ja) * 2004-07-01 2008-05-14 株式会社Nec情報システムズ Bga部品搭載基板の層数見積もり装置及び方法並びに層数見積もりプログラム
JP4266362B2 (ja) * 2004-08-11 2009-05-20 富士通株式会社 電磁界シミュレータおよび電磁界シミュレートプログラム
US7260802B2 (en) * 2004-11-08 2007-08-21 Synopsys, Inc. Method and apparatus for partitioning an integrated circuit chip
JP2006253187A (ja) * 2005-03-08 2006-09-21 Nec Electronics Corp 電源解析方法および電源解析を実行するプログラム
US7472366B1 (en) * 2005-08-01 2008-12-30 Cadence Design Systems, Inc. Method and apparatus for performing a path search
JP2007052591A (ja) 2005-08-17 2007-03-01 Fujitsu Ltd 半導体集積回路の電源電圧分布シミュレーション方法およびシミュレーションプログラム
TWI292605B (en) * 2006-01-09 2008-01-11 Himax Tech Ltd Method for creating new via
US7454300B2 (en) * 2006-02-08 2008-11-18 Roberto Suaya Extracting high frequency impedance in a circuit design using broadband representations
US7689962B2 (en) * 2006-02-08 2010-03-30 Roberto Suaya Extracting high frequency impedance in a circuit design using an electronic design automation tool
US7644381B2 (en) * 2006-04-18 2010-01-05 University Of Washington Electromagnetic coupled basis functions for an electronic circuit
US7875810B2 (en) * 2006-12-08 2011-01-25 Ngk Spark Plug Co., Ltd. Electronic component-inspection wiring board and method of manufacturing the same
JP4728944B2 (ja) * 2006-12-27 2011-07-20 富士通株式会社 電源ノイズ解析モデル生成プログラム及び電源ノイズ解析モデル作成装置
JP5029351B2 (ja) * 2007-12-28 2012-09-19 富士通株式会社 解析モデル作成技術および基板モデル作成技術
JP5089478B2 (ja) * 2008-04-28 2012-12-05 キヤノン株式会社 解析モデル作成装置及び解析モデル作成方法
JP5136333B2 (ja) * 2008-09-29 2013-02-06 富士通株式会社 電源ノイズ解析モデル作成方法、電源ノイズ解析モデル作成装置および電源ノイズ解析モデル作成プログラム

Also Published As

Publication number Publication date
US20090299718A1 (en) 2009-12-03
JP2009289062A (ja) 2009-12-10
US8249849B2 (en) 2012-08-21

Similar Documents

Publication Publication Date Title
JP5125768B2 (ja) 電源網解析装置、電源網解析方法及び電源網解析プログラム
US11392741B2 (en) Computer implemented system and method for generating a layout of a cell defining a circuit component
JP2004139181A (ja) レイアウト装置及びプログラム
JP5404910B2 (ja) 独占所有権を有する回路配置の識別
JP2008224315A (ja) テストパターン生成装置およびテストパターン生成方法
JP2008250630A (ja) デカップリングセル配置方法及びデカップリングセル配置装置
US8187924B2 (en) Method, design program and design support device for semiconductor integrated circuit, and semiconductor integrated circuit
KR20200050427A (ko) 시스템적 물리적 고장 분석(pfa) 결함 위치 결정을 위한 시스템 및 방법
CN117110847B (zh) 印刷电路板的内阻测试方法、装置、设备及存储介质
US8086985B2 (en) Automatic alignment of macro cells
US20030030445A1 (en) Method of analyzing an integrated electric circuit, computer program utilizing the method, data carrier including the method, and method for downloading the program
JP4851216B2 (ja) 半導体集積回路における試験時の電源供給方法および半導体集積回路用cadシステム
JP2009271607A (ja) 回路解析方法、半導体集積回路の製造方法、回路解析プログラム、及び回路解析装置
JP4668974B2 (ja) 半導体装置の設計方法、半導体装置設計システム及びコンピュータプログラム
US8726218B2 (en) Transistor-level layout synthesis
CN111368464A (zh) 一种功率半导体器件的芯片筛选方法及装置
JP6754174B2 (ja) 設計レイアウトデータの不良検出方法及び設計レイアウトデータの不良検出プログラム
JP2008097541A (ja) レイアウト検証方法およびレイアウト検証装置
US11901223B2 (en) Stress analysis method and semiconductor device manufacturing method
JP2009302179A (ja) 半導体集積回路のレイアウトシステム及び方法
JP2013004125A (ja) 半導体集積回路テスト方法
JP6498983B2 (ja) 半導体集積回路の設計支援装置及び設計支援方法
JP2015169588A (ja) 故障解析プログラム、故障解析方法、および故障解析装置
JP2008015898A (ja) レイアウト検証方法及びレイアウト検証装置
JP2013008125A (ja) 半導体集積回路の設計方法、設計プログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121002

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121015

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151109

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees