CN116522857B - 一种电源网络最优方案的选择方法和系统 - Google Patents

一种电源网络最优方案的选择方法和系统 Download PDF

Info

Publication number
CN116522857B
CN116522857B CN202310797241.2A CN202310797241A CN116522857B CN 116522857 B CN116522857 B CN 116522857B CN 202310797241 A CN202310797241 A CN 202310797241A CN 116522857 B CN116522857 B CN 116522857B
Authority
CN
China
Prior art keywords
scheme
power supply
design
supply network
selecting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202310797241.2A
Other languages
English (en)
Other versions
CN116522857A (zh
Inventor
孙佳
黄现
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Taorun Semiconductor Co ltd
Original Assignee
Shanghai Taorun Semiconductor Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Taorun Semiconductor Co ltd filed Critical Shanghai Taorun Semiconductor Co ltd
Priority to CN202310797241.2A priority Critical patent/CN116522857B/zh
Publication of CN116522857A publication Critical patent/CN116522857A/zh
Application granted granted Critical
Publication of CN116522857B publication Critical patent/CN116522857B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y04INFORMATION OR COMMUNICATION TECHNOLOGIES HAVING AN IMPACT ON OTHER TECHNOLOGY AREAS
    • Y04SSYSTEMS INTEGRATING TECHNOLOGIES RELATED TO POWER NETWORK OPERATION, COMMUNICATION OR INFORMATION TECHNOLOGIES FOR IMPROVING THE ELECTRICAL POWER GENERATION, TRANSMISSION, DISTRIBUTION, MANAGEMENT OR USAGE, i.e. SMART GRIDS
    • Y04S10/00Systems supporting electrical power generation, transmission or distribution
    • Y04S10/50Systems or methods supporting the power network operation or management, involving a certain degree of interaction with the load-side end user applications

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种电源网络最优方案的选择方法和系统,其中方法包括:自动生成N个电源网络的设计方案;建立每个所述设计方案中电源网络的电阻模型;通过线路仿真工具,计算每个所述电阻模型的等效电阻值;计算每个所述设计方案中电源网络的线道利用率;绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案;所述M小于N;将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案。通过上述方法,本发明先将大量的设计方案进行初步筛选,缩短选择电源网络方案的评估周期。

Description

一种电源网络最优方案的选择方法和系统
技术领域
本发明涉及芯片电源网络领域,具体而言,涉及一种电源网络最优方案的选择方法和系统。
背景技术
从现代集成电路设计的发展来看,随着工艺不断的进步,集成电路的功能结构越来越复杂,工作频率要求越来越高。 功能越来越复杂导致芯片内部的信号连接更加复杂,工艺提高单元尺寸减小导致单元密度增加,加上工作频率越来越高,导致对芯片的整体和局部的功耗都越来越高,所以要求芯片的电源网络越来越强壮。而信号连接更复杂和电源网络更强壮的要求,都是需要占用芯片内的线道资源,这就对怎样使用最少的线道资源实现最有效的强壮的电源网络提出了挑战。
芯片的电源网络一般包括多层金属,相邻两层金属之间垂直正交并通过金属通孔连接。在每一层金属层/通孔层中,电源占据的金属条和通孔之外的空隙部分可以用当前层金属或者通孔来实现信号之间的绕线;完整的电源网络包括更多的层次,比如8~15层金属,在实际连接时,某些金属层之间,也有存在某一层中没有金属条的情况,直接使用其上一层的通孔和其下一层通孔重合进行连接;
在设计电源网络的实现方案时,可以视作综合考虑以下四个参数发生的变化:1、每一层金属条的宽度;2、同一层相邻两个金属条的间隔宽度;3、通孔密度;4、使用通孔代替金属条的密度。
结合上面介绍的背景,若要使得电源网络更加强壮,则需要求每一层金属条的宽度尽量宽,同一层相邻两个金属条的间隔宽度尽量小,通孔密度尽量大,同时尽量每一层都使用了金属条而不仅用通孔实现连接。但是这样会占用更多的线道资源,从而使得留给信号的线道资源较少,可能导致复杂功能的芯片设计中信号绕线不通的情况。
所以,如何迅速确定或优化上面所述的四个参数,制定一个合理的电源网络的方案,使得电源网络在保证信号绕线正常的情况下,尽可能的调整使电源网络更加强壮。是一个对于复杂设计的重要挑战课题。
传统的技术方案中,使用完整自动布局布线的流程,每次仅可以验证一个参数组设定确定的电源网络方案是否同时满足强壮性和绕线绕通的需求,评估验证的组合是比较有限的。如果最终验证的结果发现电源网络强壮性不足或者信号绕线有绕不通的情况,则需要重新确定新的电源网络方案,做完整的迭代。
由于上述的四个参数,有太多种组合,如果需要在尝试找到最优方案,需要较多轮的迭代,加上一次迭代的周期比较长,所以完整的评估周期会更长,对评估用的机器资源也比较高。
并且,在选择电源网络方案涉及的四个参数的组合是依赖于设计者的经验,有可能在尝试的所有组合中,本身就没有比较优的选择,从而影响芯片设计的发展。
发明内容
为了解决上述任一项现有技术中存在的问题,本发明提供一种电源网络最优方案的选择方法和系统,通过建立电源网络的电阻模型来判断整体电源网络的强壮性,再使用完整的流程去验证少量的方案,实现缩短优化和选择电源网络方案的评估周期,减少评估所需要占用的机器资源,迅速验证出最佳的方案。
具体的,本发明的技术方案如下:
第一方面,本发明公开一种电源网络最优方案的选择方法,包括如下步骤:
确定在电源网络设计中的设计参数的参考范围;在满足所述设计参数的参考范围的情况下,自动生成N个电源网络的设计方案;所述设计参数具体包括:金属条的宽度、同一层相邻两个金属条的间隔宽度、通孔密度、使用通孔代替金属条的密度;
批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型;通过线路仿真工具,计算每个所述电阻模型的等效电阻值;
计算每个所述设计方案中电源网络的线道利用率;
绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案的二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案;所述M小于N;
将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案。
在一些实施方式中,所述电源网络包括多层并列设置的金属条,相邻两层的所述金属条垂直正交,并通过通孔连接。
在一些实施方式中,所述建立每个所述设计方案中电源网络的电阻模型,包括如下步骤:
将所述电源网络中,每层的所述金属条由通孔所在位置划分为多个金属段,将每个所述金属段等效为一个第一电阻;
将相邻两层所述金属条之间连接用的一个通孔等效为一个第二电阻;
多个所述第一电阻和多个所述第二电阻之间串行或并行连接,构成等效电阻结构,将所述等效电阻结构编写为文本格式电阻模型。
在一些实施方式中,所述建立每个所述设计方案中电源网络的电阻模型,包括如下步骤:
截取所述电源网络的特征部分;所述特征部分为表征所述电源网络的最小结构单元;
将所述特征部分中,每层的所述金属条由通孔所在位置划分为多个金属段,将每个所述金属段等效为一个第一电阻;
将相邻两层所述金属条之间连接用的一个通孔等效为一个第二电阻;
多个所述第一电阻和多个所述第二电阻之间串行或并行连接,构成所述特征部分的等效电阻结构;
将所述特征部分的等效电阻结构编写为特征部分的文本格式电阻模型。
在一些实施方式中,所述的计算每个所述电阻模型的等效电阻值,具体包括如下步骤:
将所述文本格式电阻模型输入电路仿真工具;输入一个驱动电压,仿真计算输出电压的大小,以及所述驱动电压与所述输出电压的电压差的大小;
通过所述电压差的大小,计算出所述电源网络的等效电阻值。
在一些实施方式中,所述的计算每个所述设计方案中电源网络的线道利用率,包括如下步骤:
对每个所述设计方案,计算所述电源网络的金属条已占用的线道数;
计算所述电源网络所在芯片范围内的总线道数;
计算所述电源网络的线道利用率;线道利用率=已占用的线道数/总线道数。
在一些实施方式中,所述的在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案,具体包括:
预设所述线道利用率的目标数据范围;
选取所述二维散点图中,所述目标数据范围内的设计方案进一步筛选;
将所述目标数据范围内的设计方案以横轴数据为划分标准,细分为M个方案组,在每个所述方案组下,筛选出纵轴数据最小的一个所述设计方案作为筛选方案;
或,将所述目标数据范围内的设计方案以纵轴数据为划分标准,细分为M个方案组,在每个所述方案组下,筛选出横轴数据最小的一个所述设计方案作为筛选方案。
在一些实施方式中,所述的将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案,具体包括以下步骤:
获取M个所述筛选方案中任意一个筛选方案的设计参数;
将所述设计参数实现的电源网络进行后端处理,获得的一个可分析的数据组;
将所述数据组输入电路仿真工具,进行电源网络强壮性验证,获取强壮性验证结果;
将所述数据组输入集成电路物理验证工具,进行获取信号绕线验证,获取信号绕线验证结果;
通过所述强壮性验证结果与所述信号绕线验证结果,共同决定最优方案。
第二方面,本发明还公开一种电源网络最优方案的选择系统,其特征在于,包括:
方案生成模块,用于确定在电源网络设计中的设计参数的参考范围;在满足所述设计参数的参考范围的情况下,自动生成N个电源网络的设计方案;所述设计参数具体包括:金属条的宽度、同一层相邻两个金属条的间隔宽度、通孔密度、使用通孔代替金属条的密度;
电阻模型建立模块,用于批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型;
等效电阻计算模块,用于通过线路仿真工具,计算每个所述电阻模型的等效电阻值;
线道资源计算模块,用于计算每个所述设计方案中电源网络的线道利用率;
方案筛选模块,用于绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案的二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案;所述M小于N;
流程验证模块,用于将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案。
在一些实施方式中,所述电源网络包括多层并列设置的金属条,相邻两层的所述金属条垂直正交,并通过通孔连接。
与现有技术相比,本发明至少具有以下一项有益效果:
1、通过对电源网络结构的准确抽象建模,定量的分析出大量的电源网络方案中的候选最优解的集合,然后再以完整的流程验证电源网络方案是否可绕行和是否足够强壮大大的减小了需要验证的方案个数和验证的迭代轮数,有效的减小了电源网络方案的优化和选择评估周期,减少对机器资源的占用,帮助整个项目快速进入真正的设计阶段。
2、通过本发明方法筛选出的电源网络设计方案既可以保证电源网络的强壮,同时可以避免信号绕线有绕不通的情况。
3、建模的方法可以用很少的机器资源,定量的遍历大量方案,保证整个方案的选取不依赖于设计者的设计经验,避免整个评估过程本身丢失了可能比较好的方案。
附图说明
下面将以明确易懂的方式,结合附图说明优选实施方式,对本发明的上述特性、技术特征、优点及其实现方式予以进一步说明。
图1为本发明一种电源网络最优方案的选择方法的一个实施例的流程图;
图2为本发明一种电源网络最优方案的选择方法的另一个实施例中步骤S200的子流程图;
图3为本发明提供的典型的两层金属之间的电源网络对应的立体等效电阻模型示意图;
图4为本发明提供的文本格式的电阻模块的示例图;
图5为本发明提供的电源网络设计方案的一个二维散点图;
图6为本发明中提及的传统评估电源网络方案的流程图;
图7为本发明一种电源网络最优方案的选择系统的一个实施例的结构框图。
具体实施方式
以下描述中,为了说明而不是为了限定,提出了诸如特定系统结构、技术之类的具体细节,以便透彻理解本申请实施例。然而,本领域的技术人员应当清楚,在没有这些具体细节的其他实施例中也可以实现本申请。在其他情况中,省略对众所周知的系统、装置、电路以及方法的详细说明,以免不必要的细节妨碍本申请的描述。
应当理解,当在本说明书和所附权利要求书中使用时,术语“包括”指示所述描述特征、整体、步骤、操作、元素和/或组件的存在,但并不排除一个或多个其他特征、整体、步骤、操作、元素、组件和/或集合的存在或添加。
为使图面简洁,各图中只示意性地表示出了与发明相关的部分,它们并不代表其作为产品的实际结构。另外,以使图面简洁便于理解,在有些图中具有相同结构或功能的部件,仅示意性地绘示了其中的一个,或仅标出了其中的一个。在本文中,“一个”不仅表示“仅此一个”,也可以表示“多于一个”的情形。
还应当进一步理解,在本申请说明书和所附权利要求书中使用的术语“和/或”是指相关联列出的项中的一个或多个的任何组合以及所有可能组合,并且包括这些组合。
在本文中,需要说明的是,除非另有明确的规定和限定,术语“安装”、“相连”、“连接”应做广义理解,例如,可以是固定连接,也可以是可拆卸连接,或一体的连接;可以是机械连接,也可以是电连接;可以是直接相连,也可以通过中间媒介间接相连,可以是两个元件内部的连通。对于本领域的普通技术人员而言,可以具体情况理解上述术语在本发明中的具体含义。
具体实现中,本申请实施例中描述的终端设备包括但不限于诸如具有触摸敏感表面(例如,触摸屏显示器和/或触摸板)的移动电话、膝上型计算机、家教机或平板计算机之类的其他便携式设备。还应当理解的是,在某些实施例中,所述终端设备并非便携式通信设备,而是具有触摸敏感表面(例如:触摸屏显示器和/或触摸板)的台式计算机。
另外,在本申请的描述中,术语“第一”、“第二”等仅用于区分描述,而不能理解为指示或暗示相对重要性。
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对照附图说明本发明的具体实施方式。显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图,并获得其他的实施方式。
参考说明书附图1,本发明提供的一种电源网络最优方案的选择方法的一个实施例,包括如下步骤:
S100,确定在电源网络设计中的每个设计参数的参考范围;在满足所述每个设计参数的参考范围的情况下,自动生成N个电源网络的设计方案。
具体的,在实现电源网络的方案时,都是在固定的结构中,改变下面四项对应的参数,来确定一个设计方案的。1、每一层金属条的宽度;2、同一层相邻两个金属条的间隔宽度;3、通孔密度,即所有金属层与下一层条相交的地方是否都打上通孔,通孔的位置上也可以不打通孔,这样降低了通孔密度;4、使用通孔代替金属条的密度,即某一层次是不是不用条只用通孔堆叠连接,在电源网络中,会出现某一层的金属条缺失,由上下两层的通孔直接代替金属条连接的情况;通过脚本,在参数允许的范围内,通过调整组合不同的参数,自动生成若干个可用的电源网络设计方案。
S200,批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型。
具体的,在得到一个所述电源网络设计方案的四个参数后,就可以计算得到一个虚拟的等效电阻模型,方便后续验证这个设计方案的是否强壮和是否可行。
S300,通过线路仿真工具,计算每个所述电阻模型的等效电阻值。
具体的,可以采用Hspice工具(一种模拟、射频和混合信号电子设计线路仿真工具),以文本格式电阻模型为输入。在整个电阻模型的顶层按照实际的能加输入电源供应点处加入原始驱动电压,然后在整个电阻模型的最底层的中心位置测对应的输出电压。 这样就可以计算出整个电阻模型的等效电阻。
S400,计算每个所述设计方案中电源网络的线道利用率。
具体的,对每一种电源网络设计方案,我们可以根据每一层的金属层次用作电源的宽度和间距信息,我们可以算出所有层次金属用作电源时占用了可以用来绕线的多少根线道,除以所有金属层次可以用作电源或者信号绕线的总线道数,这样就可以算出当前电源网络方案用作电源的金属占整体的绕线资源的比例,也就是线道利用率。
线道利用率越低,就说明可以用作信号绕线的资源就越多,信号绕线就越通畅;相反,线道利用率越高,就说明可以用作信号绕线的资源就越少,可能出现信号绕线不通的问题。计算线道利用率这一个步骤因为都是比较确定的算法去处理大量的数据,也可以很简单使用脚本批量自动化处理。
S500,绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案的二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案;所述M小于N。
具体的,在所述二维散点图中,每一个点都代表一个设计方案,从图上的点所在的位置就可以看出来某方案的等效电阻和线道利用率的大致情况。
一般情况下,我们会要求电源网络在保存电源网络足够强壮的同时信号绕线的可用线道足够多,即等效电阻尽量小的情况下线道利用率也尽量小。如果相同的线道利用率的情况下,我们只会选择等效电阻最小的点对应的电源网络方案。
若所述二维散点图中,横轴数据与纵轴数据均由小到大排列,则首先在纵轴上确定一个大致范围,再以Y=某个定值,在图中画一条平行X轴的水平线,只会选择与之相交的最左边的点;经过这一步,我们已经能够筛除大量非最优的“无效”方案。
S600,将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案。
具体的,将筛选后的几个方案,再带入传统的评估电源网络方案的流程中,进一步筛选出最优的方案。传统的评估流程,需要较长的迭代周期,如果需要在多种组合中尝试找到最优方案,需要较多轮的迭代,整体评估周期长且占用机器资源较高。本发明先对方案经过筛选,只需要验证筛选后的几组方案,尽可能的缩短了评估周期,节约了机器资源。
在本方法实施例中,所述电源网络包括多层并列设置的金属条,相邻两层的所述金属条垂直正交,并通过通孔连接。
所述设计参数具体包括:金属条的宽度、同一层相邻两个金属条的间隔宽度、通孔密度、使用通孔代替金属条的密度。
具体的,在上述实施例中也有提到,在实现电源网络的方案时,都是在固定的结构中,改变下面四项对应的参数,来确定一个设计方案的。1、每一层金属条的宽度;2、同一层相邻两个金属条的间隔宽度;3、通孔密度,即所有金属层与下一层条相交的地方是否都打上通孔,通孔的位置上也可以不打通孔,这样降低了通孔密度;4、使用通孔代替金属条的密度,即某一层次是不是不用条只用通孔堆叠连接,在电源网络中,会出现某一层的金属条缺失,由上下两层的通孔直接代替金属条连接的情况;通过脚本,在参数允许的范围内,通过调整组合不同的参数,就可以自动生成若干个可用的电源网络设计方案。
本发明一种电源网络最优方案的选择方法的另一个实施例,在上述方法的一个实施例的基础上,上述步骤S200,批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型;中具体包括如下步骤,如说明书附图2所示:
S211,将所述电源网络中,每层的所述金属条由通孔所在位置划分为多个金属段,将每个所述金属段等效为一个第一电阻。
具体的,说明书附图3给出了典型的两层金属之间的电源网络对应的立体等效电阻模型。平行于水平面的电阻均为第一电阻,在将金属段等效为一个第一电阻时,需要计算每个金属段的等效电阻阻值:R1=ρL/S=ρL/(W*T)。
具体的,ρ为所述金属条的电阻率;L为所述金属段的长;S为金属段横截面积;W为所述金属段的宽;T为所述金属段的厚度。其中金属段的宽W是决定设计方案的四个参数其中之一:金属条的宽度;金属段的长L也是决定设计方案的四个参数其中之一:同一层相邻两个金属条的间隔宽度。
S212,将相邻两层所述金属条之间连接用的一个通孔等效为一个第二电阻。
具体的,参考说明书附图3,竖直于水平方向的电阻均为第二电阻,接入电阻,即通孔的等效电阻,可以根据电源网络中的每个通孔处的通孔的个数乘以1中提到的单个通孔的阻值R2来得到。单个通孔的阻值均相等,不需要再进行计算。
S213,多个所述第一电阻和多个所述第二电阻之间串行或并行连接,构成等效电阻结构,将所述等效电阻结构编写为文本格式电阻模型。
具体的,将单层电源网络向上或向下叠加,得到完整的多层结构的立体电阻模型后,可以转化成如图4所示的文本化的表征格式:第一列代表给某一个电阻块定义了一个文本名称,第2列第3列依次代表电阻模型中的两个节点,第4列代表第2/3列两个节点之间的电阻名为第1列名字的电阻的电阻值。按照这样的规则进行编写,这样整个电阻模型就可以用多行文本格式来表征立体电阻模块的节点和节点之间的电阻。
在本实施例的另一实施方式中,步骤S200,具体包括:
S221,截取所述电源网络的特征部分;所述特征部分为表征所述电源网络的最小结构单元。
具体的,所述特征部分为所述电源网络的最小结构,特征部分也包括完整的层级结构,只是在每个金属层上对应选取特征部分,将所述特征部分向外延伸可以得到完整的所述电源网络。由于数字集成电路中的整个电源网络是非常规则的,主要的评估在于之前提到的四个参数变化导致整个电源网络的模型的变化而引起的电源网络强弱的变化。首先,规则的结构和上面提到的确定的计算电阻方法,所以使用脚本自动化的实现快速输出一个完整文本化的电阻模型是很容易实现的。其次,如果一个完整的大型电源网络的尺寸可能到20mm* 20mm,我们并不需要建立一个20mm* 20mm的物理范围内的电源网络的完整电阻模型。因为规则的电源网络会导致非常规则的电阻模型,我们建立一个比如50um* 50um范围内的电源网络对应的电阻模型也已经足够表征四个参数对整个电源网络的影响。
S222,将所述特征部分中,每层的所述金属条由通孔所在位置划分为多个金属段,将每个所述金属段等效为一个第一电阻。
S223,将相邻两层所述金属条之间连接用的一个通孔等效为一个第二电阻。
具体的,第一电阻和第二电阻和上述实施例一致,不同的是仅需要计算特征部分中的金属段电阻。
S224,多个所述第一电阻和多个所述第二电阻之间串行或并行连接,构成所述特征部分的等效电阻结构。
S225,将所述特征部分的等效电阻结构编写为特征部分的文本格式电阻模型。
具体的,得到所述电源网络特征部分的等效电阻立体模型后,将其文本格式化,得到特征部分的文本格式电阻模型,这样我们把线路仿真工具对整个电阻模型的仿真变成了一个小规模的电阻连接网络进行仿真计算,这样的仿真规模较小,占用机器资源比较少,速度也会比较快,往往在一个小时以内就可以完成。
本发明一种电源网络最优方案的选择方法的另一个实施例,在上述方法的任意一个实施例的基础上,所述的步骤S300:计算每个所述电阻模型的等效电阻值;具体包括如下步骤:
S310,将所述文本格式电阻模型输入电路仿真工具;输入一个驱动电压,仿真计算输出电压的大小,以及所述驱动电压与所述输出电压的电压差的大小。
具体的,在整个电阻模型的顶层按照实际的能加输入电源供应点处加入原始驱动电压,然后在整个电阻模型的最底层的中心位置测对应的输出电压。电压差即输入电压减去输出电压的大小。
S320,通过所述电压差的大小,计算出所述电源网络的等效电阻值。
本实施例的另一实施方式中,若输入的文本格式电阻模型为电源网络中特征部分的文本格式电阻模型,则在计算出特征部分的等效电阻后再乘以对应的比例,就可以得到完整的电源网络的电阻模型。
本发明方法的另一实施例,在上述任一实施例的基础上,所述的步骤S400,计算每个所述设计方案中电源网络的线道利用率;具体包括如下步骤:
S410,对每个所述设计方案,计算所述电源网络的金属条已占用的线道数。
S420,计算所述电源网络所在芯片范围内的总线道数。
S430,计算所述电源网络的线道利用率;线道利用率=已占用的线道数/总线道数。
具体的,对每一种电源方案,我们可以根据每一层的金属层次用作电源的宽度和间距信息,我们可以算出所有层次金属用作电源时占用了可以用来绕线的多少根线道,除以所有金属层次可以用作电源或者信号绕线的总线道数,这样就可以算出当前电源网络方案用作电源的金属的线道利用率。所述线道利用率也就是金属已占用的线道数占整体的总线道数的比例。金属条的宽度越宽,占用的线道也就越多,线道利用率越高,也就是说可以用作信号绕线的线道资源越少。所以需要控制金属条的宽度,从而使电源网络强壮的同时使信号绕线资源也足够。
本发明一种电源网络最优方案的选择方法的另一个实施例,在上述方法的任意一个实施例的基础上,所述的步骤S500:在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案,具体包括:
S510,预设所述线道利用率的目标数据范围。
S520,选取所述二维散点图中,所述目标数据范围内的设计方案进一步筛选。
具体的,二维散点图如图5所示,横轴为由小到大排列的为等效电阻值,纵轴为由小到大排列线道利用率。我们将两条虚线之间的区域,简称为目标区域。目标区域以上的,占用了太多的绕线资源,很大可能导致信号绕线拥塞。目标区域以下的,等效电阻偏大,很大可能导致电源强壮性验证不通过。所以两条虚线之间的区域,即目标区域中的点所代表的设计方案可以作为进一步筛选的方案。而目标区域以外的点,可以用来测试电源网络方案的可绕性和强壮性的边界。
S530,将所述目标数据范围内的设计方案以横轴数据为划分标准,细分为M个方案组,在每个所述方案组下,筛选出纵轴数据最小的一个所述设计方案作为筛选方案。
具体的,图5中的点如果相同的线道利用率情况下,我们只会选择等效电阻最小的点对应的电源网络方案。 即以X=某个定值,在图5中两黄线之间画几条平行Y轴的水平线,只会选择与之相交的最下边的点作为筛选方案。
S540,将所述目标数据范围内的设计方案以纵轴数据为划分标准,细分为M个方案组,在每个所述方案组下,筛选出横轴数据最小的一个所述设计方案作为筛选方案。
具体的,和上述实施例相似, 即以Y=某个定值,在图5中两黄线之间画几条平行X轴的水平线,只会选择与之相交的最左边的点作为筛选方案。经过这一步,我们已经能够筛选出大量非最优的“无效”方案。
本发明一种电源网络最优方案的选择方法的另一个实施例,在上述方法的任意一个实施例的基础上,所述步骤S600:将M个所述筛选方案,依次进行评估。选择评估结果最优的一个所述筛选方案作为最优方案。具体包括以下步骤:
S610,获取M个所述筛选方案中任意一个筛选方案的设计参数。
S620,将所述设计参数实现的电源网络进行后端处理,获得的一个可分析的数据组。
S630,将所述数据组输入电路仿真工具,进行电源网络强壮性验证,获取强壮性验证结果。
S640,将所述数据组输入集成电路物理验证工具,进行获取信号绕线验证,获取信号绕线验证结果。
S650,通过所述强壮性验证结果与所述信号绕线验证结果,共同决定最优方案。
具体的,传统评估电源网络方案的流程如说明书附图6所示。在前两步的筛选之后,把筛选后的所有的电源网络方案,去跑完整的图6的验证流程,经历较少的迭代轮次,就可以更快的验证出最好的设计方案。
基于相同的技术构思,本发明还公开了一种电源网络最优方案的选择系统,该系统可用于实现上述任意一种电源网络最优方案的选择方法,具体的,本申请的一种电源网络最优方案的选择系统实施例,如说明书附图7所示,包括:
方案生成模块10,用于确定在电源网络设计中的每个设计参数的参考范围;在满足所述每个设计参数的参考范围的情况下,自动生成N个电源网络的设计方案。
具体的,在实现电源网络的方案时,都是在固定的结构中,改变下面四项对应的参数,来确定一个设计方案的。1、每一层金属条的宽度;2、同一层相邻两个金属条的间隔宽度;3、通孔密度,即所有金属层与下一层条相交的地方是否都打上通孔,通孔的位置上也可以不打通孔,这样降低了通孔密度;4、使用通孔代替金属条的密度,即某一层次是不是不用条只用通孔堆叠连接,在电源网络中,会出现某一层的金属条缺失,由上下两层的通孔直接代替金属条连接的情况;通过脚本,在参数允许的范围内,通过调整组合不同的参数,自动生成若干个可用的电源网络设计方案。
电阻模型建立模块20,用于批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型。
具体的,在得到一个所述电源网络设计方案的四个参数后,就可以计算得到一个虚拟的等效电阻模型,方便后续验证这个设计方案的是否强壮和是否可行。
等效电阻计算模块30,用于通过线路仿真工具,计算每个所述电阻模型的等效电阻值。
具体的,可以采用Hspice工具(一种模拟、射频和混合信号电子设计线路仿真工具),以文本格式电阻模型为输入。在整个电阻模型的顶层按照实际的电压大小给电源供应点处加入原始驱动电压,然后在整个电阻模型的最底层的中心位置测对应的输出电压。 这样就可以计算出整个电阻模型的等效电阻。
线道资源计算模块40,用于计算每个所述设计方案中电源网络的线道利用率。
具体的,对每一种电源网络设计方案,我们可以根据每一层的金属层次用作电源的宽度和间距信息,我们可以算出所有层次金属用作电源时占用了可以用来绕线的多少根线道,除以所有金属层次可以用作电源或者信号绕线的总线道数,这样就可以算出当前电源网络方案用作电源的金属占整体的绕线资源的比例,也就是线道利用率。
线道利用率越低,就说明可以用作信号绕线的资源就越多,信号绕线就越通畅。相反,线道利用率越高,就说明可以用作信号绕线的资源就越少,可能出现信号绕线不通的问题。计算线道利用率这一个步骤因为都是比较确定的算法去处理大量的数据,也可以很简单使用脚本批量自动化处理。
方案筛选模块50,用于绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案的二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案。所述M小于N。
具体的,在所述二维散点图中,每一个点都代表一个设计方案,从图上的点所在的位置就可以看出来某方案的等效电阻和线道利用率的大致情况。
一般情况下,我们会要求电源网络在保存电源网络足够强壮的同时信号绕线的可用线道足够多,即等效电阻尽量小的情况下线道利用率也尽量小。如果相同的线道利用率的情况下,我们只会选择等效电阻最小的点对应的电源网络方案。
若所述二维散点图中,横轴数据与纵轴数据均由小到大排列,则首先在纵轴上确定一个大致范围,再以Y=某个定值,在图中画一条平行X轴的水平线,只会选择与之相交的最左边的点;经过这一步,我们已经能够筛除大量非最优的“无效”方案。
流程验证模块60,用于将M个所述筛选方案,依次进行评估。选择评估结果最优的一个所述筛选方案作为最优方案。
具体的,将筛选后的几个方案,再带入传统的评估电源网络方案的流程中,进一步筛选出最优的方案。传统的评估流程,需要较长的迭代周期,如果需要在多种组合中尝试找到最优方案,需要较多轮的迭代,整体评估周期长且占用机器资源较高。本发明先对方案经过筛选,只需要验证筛选后的几组方案,尽可能的缩短了评估周期,节约了机器资源。
本发明的一种电源网络最优方案的选择方法和系统具有相同的技术构思,二者的实施例的技术细节可相互适用,为减少重复,此次不再赘述。
本发明是参照根据本发明实施例的方法、设备(系统)和计算机程序产品的流程图和/或方框图来描述的。应理解可由计算机程序指令实现流程图和/或方框图中的每一流程和/或方框,以及流程图和/或方框图中的流程和/或方框的结合。可提供这些计算机程序指令到通用计算机、专用计算机、嵌入式处理机或其他可编程数据处理设备的处理器以产生一个机器,使得通过计算机或其他可编程数据处理设备的处理器执行的指令产生用于实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能的装置。
这些计算机程序指令也可存储在能引导计算机或其他可编程数据处理设备以特定方式工作的计算机可读存储器中,使得存储在该计算机可读存储器中的指令产生包括指令装置的制造品,该指令装置实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能。
这些计算机程序指令也可装载到计算机或其他可编程数据处理设备上,使得在计算机或其他可编程设备上执行一系列操作步骤以产生计算机实现的处理,从而在计算机或其他可编程设备上执行的指令提供用于实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能的步骤。
尽管已描述了本发明的优选实施例,但本领域内的技术人员一旦得知了基本创造性概念,则可对这些实施例作出另外的变更和修改。所以,所附权利要求意欲解释为包括优选实施例以及落入本发明范围的所有变更和修改。
显然,本领域的技术人员可以对本发明进行各种改动和变型而不脱离本发明的精神和范围。这样,倘若本发明的这些修改和变型属于本发明权利要求及其等同技术的范围之内,则本发明也意图包含这些改动和变型在内。

Claims (8)

1.一种电源网络最优方案的选择方法,其特征在于,包括如下步骤:
确定在电源网络设计中的设计参数的参考范围;在满足所述设计参数的参考范围的情况下,自动生成N个电源网络的设计方案;所述电源网络包括多层并列设置的金属条,相邻两层的所述金属条垂直正交,并通过通孔连接;所述设计参数具体包括:金属条的宽度、同一层相邻两个金属条的间隔宽度、通孔密度、使用通孔代替金属条的密度;
批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型;通过线路仿真工具,计算每个所述电阻模型的等效电阻值;
计算每个所述设计方案中电源网络的线道利用率;
绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案的二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案;所述M小于N;
将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案。
2.如权利要求1所述的一种电源网络最优方案的选择方法,其特征在于,所述建立每个所述设计方案中电源网络的电阻模型,包括如下步骤:
将所述电源网络中,每层的所述金属条由通孔所在位置划分为多个金属段,将每个所述金属段等效为一个第一电阻;
将相邻两层所述金属条之间连接用的一个通孔等效为一个第二电阻;
多个所述第一电阻和多个所述第二电阻之间串行或并行连接,构成等效电阻结构,将所述等效电阻结构编写为文本格式电阻模型。
3.如权利要求1所述的一种电源网络最优方案的选择方法,其特征在于,所述建立每个所述设计方案中电源网络的电阻模型,包括如下步骤:
截取所述电源网络的特征部分;所述特征部分为表征所述电源网络的最小结构单元;
将所述特征部分中,每层的所述金属条由通孔所在位置划分为多个金属段,将每个所述金属段等效为一个第一电阻;
将相邻两层所述金属条之间连接用的一个通孔等效为一个第二电阻;
多个所述第一电阻和多个所述第二电阻之间串行或并行连接,构成所述特征部分的等效电阻结构;
将所述特征部分的等效电阻结构编写为特征部分的文本格式电阻模型。
4.如权利要求2或3所述的一种电源网络最优方案的选择方法,其特征在于,所述的计算每个所述电阻模型的等效电阻值,具体包括如下步骤:
将所述文本格式电阻模型输入电路仿真工具;输入一个驱动电压,仿真计算输出电压的大小,以及所述驱动电压与所述输出电压的电压差的大小;
通过所述电压差的大小,计算出所述电源网络的等效电阻值。
5.如权利要求1所述的一种电源网络最优方案的选择方法,其特征在于,所述的计算每个所述设计方案中电源网络的线道利用率,包括如下步骤:
对每个所述设计方案,计算所述电源网络的金属条已占用的线道数;
计算所述电源网络所在芯片范围内的总线道数;
计算所述电源网络的线道利用率;线道利用率=已占用的线道数/总线道数。
6.如权利要求5所述的一种电源网络最优方案的选择方法,其特征在于,所述的在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案,具体包括:
预设所述线道利用率的目标数据范围;
选取所述二维散点图中,所述目标数据范围内的设计方案进一步筛选;
将所述目标数据范围内的设计方案以横轴数据为划分标准,细分为M个方案组,在每个所述方案组下,筛选出纵轴数据最小的一个所述设计方案作为筛选方案;
或,将所述目标数据范围内的设计方案以纵轴数据为划分标准,细分为M个方案组,在每个所述方案组下,筛选出横轴数据最小的一个所述设计方案作为筛选方案。
7.如权利要求1所述的一种电源网络最优方案的选择方法,其特征在于,所述的将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案,具体包括以下步骤:
获取M个所述筛选方案中任意一个筛选方案的设计参数;
将所述设计参数实现的电源网络进行后端处理,获得的一个可分析的数据组;
将所述数据组输入电路仿真工具,进行电源网络强壮性验证,获取强壮性验证结果;
将所述数据组输入集成电路物理验证工具,进行获取信号绕线验证,获取信号绕线验证结果;
通过所述强壮性验证结果与所述信号绕线验证结果,共同决定最优方案。
8.一种电源网络最优方案的选择系统,其特征在于,包括:
方案生成模块,用于确定在电源网络设计中的设计参数的参考范围;在满足所述设计参数的参考范围的情况下,自动生成N个电源网络的设计方案;所述电源网络包括多层并列设置的金属条,相邻两层的所述金属条垂直正交,并通过通孔连接;所述设计参数具体包括:金属条的宽度、同一层相邻两个金属条的间隔宽度、通孔密度、使用通孔代替金属条的密度;
电阻模型建立模块,用于批量自动处理N个所述设计方案,建立每个所述设计方案中电源网络的电阻模型;
等效电阻计算模块,用于通过线路仿真工具,计算每个所述电阻模型的等效电阻值;
线道资源计算模块,用于计算每个所述设计方案中电源网络的线道利用率;
方案筛选模块,用于绘制以所述等效电阻值为横轴,以所述线道利用率为纵轴的设计方案的二维散点图;在所述二维散点图中,选取指定纵轴范围内的M个设计方案作为筛选方案;所述M小于N;
流程验证模块,用于将M个所述筛选方案,依次进行评估;选择评估结果最优的一个所述筛选方案作为最优方案。
CN202310797241.2A 2023-07-03 2023-07-03 一种电源网络最优方案的选择方法和系统 Active CN116522857B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310797241.2A CN116522857B (zh) 2023-07-03 2023-07-03 一种电源网络最优方案的选择方法和系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310797241.2A CN116522857B (zh) 2023-07-03 2023-07-03 一种电源网络最优方案的选择方法和系统

Publications (2)

Publication Number Publication Date
CN116522857A CN116522857A (zh) 2023-08-01
CN116522857B true CN116522857B (zh) 2023-09-08

Family

ID=87398009

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310797241.2A Active CN116522857B (zh) 2023-07-03 2023-07-03 一种电源网络最优方案的选择方法和系统

Country Status (1)

Country Link
CN (1) CN116522857B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1987872A (zh) * 2005-12-21 2007-06-27 中国科学院微电子研究所 基于功耗分布的电源网络设计方法
CN112362971A (zh) * 2020-10-30 2021-02-12 苏州华兴源创科技股份有限公司 电源模块等效电阻测试方法、装置、设备及存储介质
CN113536600A (zh) * 2021-08-17 2021-10-22 浙江大学 一种功率模块绑定线布局优化设计方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5125768B2 (ja) * 2008-05-29 2013-01-23 富士通株式会社 電源網解析装置、電源網解析方法及び電源網解析プログラム
US11024589B2 (en) * 2017-10-13 2021-06-01 Oracle International Corporation Distributing on chip inductors for monolithic voltage regulation
US20210271994A1 (en) * 2020-03-02 2021-09-02 Synopsys, Inc. Fast Effective Resistance Estimation using Machine Learning Regression Algorithms
WO2022266231A1 (en) * 2021-06-16 2022-12-22 Synopsys, Inc. Machine-learning-based power/ground (p/g) via removal

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1987872A (zh) * 2005-12-21 2007-06-27 中国科学院微电子研究所 基于功耗分布的电源网络设计方法
CN112362971A (zh) * 2020-10-30 2021-02-12 苏州华兴源创科技股份有限公司 电源模块等效电阻测试方法、装置、设备及存储介质
CN113536600A (zh) * 2021-08-17 2021-10-22 浙江大学 一种功率模块绑定线布局优化设计方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
基于电源、地桥的电源网络布线后优化;黄凯;;现代电子技术(第23期);59-60 *

Also Published As

Publication number Publication date
CN116522857A (zh) 2023-08-01

Similar Documents

Publication Publication Date Title
KR102611938B1 (ko) 신경망을 사용한 통합 회로 플로어 플랜 생성
US7870527B2 (en) Method for stacked pattern design of printed circuit board and system thereof
JPH08123836A (ja) 会話型回路設計装置
CN105138769A (zh) 一种用于可编程电路的时序模型生成方法及装置
US20170116367A1 (en) Electromigration-aware integrated circuit design methods and systems
CN114556352A (zh) 用于执行自动布线的方法和系统
CN103116664A (zh) 长度计算系统及长度计算方法
CN115659901B (zh) 一种芯片物理设计的距离布线优化方法和装置
CN116911246A (zh) 芯片设计的布线规划方法及相关设备
CN115544957A (zh) 一种板级散热仿真方法、系统及介质
US7178123B2 (en) Schematic diagram generation and display system
US10176288B1 (en) System and method for placing components in an electronic circuit design
CN116522857B (zh) 一种电源网络最优方案的选择方法和系统
CN112800716B (zh) 一种集成电路版图布线中线网开路检测方法
US7110929B1 (en) System and method of providing additional circuit analysis using simulation templates
CN111624475B (zh) 大规模集成电路的测试方法及系统
JP2003030267A (ja) タイミングバジェット設計方法
Liu et al. Knowledge-based neural network model for FPGA logical architecture development
CN113221501B (zh) 用于平衡电路不同划分间互联数的方法及可读存储介质
CN114444431A (zh) 差动阻抗线自动挑选方法、装置和存储介质
US9202001B1 (en) System and method for electronic design routing between terminals
JP5509952B2 (ja) シミュレーション方法、シミュレーション装置、プログラム、及び記憶媒体
CN116956807B (zh) 芯片设计的全局布线拥塞图确定方法及相关设备
US20050010881A1 (en) Estimating current density parameters on signal leads of an integrated circuit
CN114398823A (zh) 一种利用复杂网络和机器学习预测电路拥塞度方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant