JP5064689B2 - 半導体基板の埋設分離領域を形成する方法及び埋設分離領域をもつ半導体デバイス - Google Patents

半導体基板の埋設分離領域を形成する方法及び埋設分離領域をもつ半導体デバイス Download PDF

Info

Publication number
JP5064689B2
JP5064689B2 JP2006018863A JP2006018863A JP5064689B2 JP 5064689 B2 JP5064689 B2 JP 5064689B2 JP 2006018863 A JP2006018863 A JP 2006018863A JP 2006018863 A JP2006018863 A JP 2006018863A JP 5064689 B2 JP5064689 B2 JP 5064689B2
Authority
JP
Japan
Prior art keywords
layer
substrate
forming
opening
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006018863A
Other languages
English (en)
Other versions
JP2006210927A5 (ja
JP2006210927A (ja
Inventor
トシハル・フルカワ
マーク・シー・ヘイキー
スティーブン・ジェイ・ホームズ
デビッド・ブイ・ホラック
チャールズ・ダブリュー・コバーガー・サード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006210927A publication Critical patent/JP2006210927A/ja
Publication of JP2006210927A5 publication Critical patent/JP2006210927A5/ja
Application granted granted Critical
Publication of JP5064689B2 publication Critical patent/JP5064689B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76267Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、集積回路製造分野に関し、より詳細には、半導体基板の埋設分離領域を形成する方法と、埋設分離領域を有する半導体デバイスを形成する方法に関する。
シリコンウェハーに酸素イオン打ち込みを行う又は酸素プラズマに曝す、といったシリコン・オン・インシュレータ(SOI)基板の従来の製造方法は、そのように形成された埋設酸化物(BOX)層において比較的高い欠陥レベルに悩まされる。さらに、幾つかの用途においては、埋設酸化物層は、シリコン層に形成されたデバイスの最適な動作を妨げる。したがって、半導体基板の埋設分離領域を形成する改善された方法と、埋設分離領域を有する半導体デバイスを形成し、なおかつデバイスの最適な動作を与える方法が必要とされている。
本発明は、ナノ−マスキング技術を用いて、半導体基板又は半導体基板の絶縁体層の特定領域にナノ開口部を形成するものである。次いで、ナノ開口部を用いて種々の半導体加工を行って、半導体基板の埋設絶縁体層を形成し、又は変更する。
本発明の第1の態様は、(a)単結晶シリコン基板を用意するステップと、(b)基板の上面にハードマスク層を形成するステップと、(c)光リソグラフィ・プロセスを行わずに、マスキング・パターンをもつナノマスク層をハードマスク層の上面に形成するステップと、(d)マスキング・パターンをハードマスク層にエッチングして、パターン化されたハードマスク層の上面から基板の上面まで延びる開口部を、該開口部又は該開口部間の隔たり、若しくは該開口部と該開口部間の隔たりとの両方がパターン化されたハードマスク層の上面に平行に延びる少なくとも1つの空間的広がりを個別に有する形態で、パターン化されたハードマスク層を形成するステップと、(e)ナノマスク層を除去した後に、パターン化されたハードマスク層の開口部を埋める単結晶IV族半導体層を、パターン化されたハードマスク層の上面に形成するステップとを含む、半導体構造を形成する方法である。
本発明の第2の態様は、(a)単結晶シリコン基板を用意するステップと、(b)基板の上面にダミー・ゲートを形成するステップと、(c)光リソグラフィ・プロセスを行わずに、マスキング・パターンをもつナノマスク層を基板の上面に及びダミー・ゲートの上面に形成するステップと、(d)ダミー・ゲートによって基板がカバーされていない場所でマスキング・パターンを基板にエッチングして、基板の上面から所定の距離だけ基板の中に延びる開口部を、該開口部又は該開口部間の隔たり、若しくは該開口部と該開口部間の隔たりとの両方がパターン化された層の上面に平行に延びる少なくとも1つの空間的広がりを個別に有する形態で、基板にパターン化されたシリコン領域を形成するステップと、(e)ナノマスク層を除去した後に、基板の上面に及び開口部の側壁に保護層を形成するステップと、(f)開口部の底面において露出された基板を酸化させて、パターン化された埋設二酸化ケイ素層を形成するステップと、(g)開口部の側壁から保護層を除去するステップと、(h)開口部を単結晶IV族半導体材料で埋めるステップとを含む、半導体構造を形成する方法である。
本発明の第3の態様は、(a)単結晶シリコン基板を用意するステップと、(b)基板の上面にダミー・ゲートを形成するステップと、(c)光リソグラフィ・プロセスを行わずに、マスキング・パターンをもつナノマスク層を基板の上面に及びダミー・ゲートの上面に形成するステップと、(d)ダミー・ゲートによって基板がカバーされていない場所でマスキング・パターンを基板にエッチングして、基板の上面から所定の距離だけ基板の中に延びる開口部を、該開口部又は該開口部間の隔たり、若しくは該開口部と該開口部間の隔たりとの両方がパターン化された層の上面に平行に延びる少なくとも1つの空間的広がりを個別に有する形態で、基板に形成するステップと、(e)基板をアニーリングして、基板の上面に隣接してシリコンをリフローさせ、基板の上面から開口部をシールオフし、開口部を埋設間隙に合体させるステップとを含む、半導体構造を形成する方法である。
本発明の第4の態様は、半導体構造であって、シリコン下層と、シリコン下層の上面上のパターン化された埋設酸化物層とを含み、該パターン化された埋設酸化物層が開口部を有し、該開口部がパターン化された埋設酸化物層を通って延び、かつ、単結晶IV族半導体材料で埋められており、パターン化された埋設酸化物層の上に単結晶IV族半導体層があり、開口部の幅又は開口部間の隔たり、若しくは開口部と開口部間の隔たりとの両方が、光リソグラフィにより画定可能な寸法より小さい少なくとも1つの空間的広がりを個別に有し、少なくとも1つの空間的広がりが基板の上面に平行に延びるようにされた基板と、シリコン基板の上面のゲート誘電体と、ゲート誘電体の上面のゲート電極と、基板に形成されたゲート電極の両側のソース及びドレインと、を含む半導体構造である。
本発明の第5の態様は、シリコン基板と、シリコン基板の上面のゲート誘電体と、ゲート誘電体の上面のゲート電極と、基板の及びゲート電極の両側のソース及びドレインと、ソースの下の基板の第1の間隙(void)又は間隙の群と、ドレインの下の基板の第2の間隙又は間隙の群と、を含む半導体構造である。
本発明の特徴は、特許請求の範囲の請求項に記載されている。しかしながら、本発明自体は、添付の図面と組み合わせて読んだときに、以下の例示的な実施形態の詳細な説明を参照することによって最も良く理解されるであろう。
本発明によって用いられる全てのナノマスク層は、光リソグラフィ・プロセスなしで形成される。光リソグラフィ・プロセスは、光波長放射(すなわち可視又は紫外)又はX線放射のいずれかを用いて光マスクを通してレジスト層にパターンを生じさせるエネルギーにレジスト層を曝すことによって、又は電子ビームでフォトレジストにパターンを直接(すなわちマスクなしで)書き込むことによって、フォトレジスト層にパターンが形成されるものである。像現像処理ステップが、フォトリソグラフィ・プロセスにおいて同様に要求される場合が多い。ナノマスク層は、パターンの1つ又はそれ以上の特徴(すなわち開口部幅、アイランド幅、アイランド間の距離又は開口部間の距離)が従来のフォトリソグラフィ・プロセスによって得られる寸法より小さい寸法をもつようなパターンを有する場合が多い。これらの寸法は、「ナノメートル」範囲のものとして特定され、一例としては、約2nm乃至約100nmである。
図1から図8までは、本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。図1においては、シリコン基板100は、ハイパワー酸素打ち込みに曝されて、基板100の上面110から基板の中に距離D1のところに酸素リッチ層105を形成する。酸素リッチ層105は、酸素リッチ層と基板の上面との間にシリコン層115としてシリコン基板100の上部を画定する。酸素リッチ層105は、二酸化ケイ素領域を含むことができる。シリコンの酸化物の正確な化学量論(stoichiometry)は、半導体構造において若干変化するので、本発明の目的上、二酸化ケイ素という用語は、特定の式SiO並びに一般式Siを有するシリコンと酸素の化合物を含む。一例においては、距離D1は、約20nmから約100nmである。
図2においては、基板100の上面110にハードマスク層120が形成される。一例においては、ハードマスク層120は、厚さ約50nmの窒化ケイ素を含む。ハードマスク層120の上面130にナノマスク125が形成される。ナノマスク層125は、ナノマスク層125の上面140からハードマスク層120の上面130まで延びる間隙(void)領域135と、固体(solid)領域145とを含む。第1の例においては、間隙領域135は、連続固体領域145における穴である。第2の例においては、固体領域145は、間隙領域135によって囲まれたアイランドである。間隙領域135は、基板100の上面110に平行な方向に寸法D2の少なくとも1つの空間的広がりをもち、固体領域145は、基板100の上面110に平行な方向に寸法D3の少なくとも1つの空間的広がりをもつ。第1の例においては、D2及びD3は、それぞれ別個に約2nm乃至約100nmとされる。第2の例においては、D2及びD3は、それぞれ別個に約2nm乃至約50nmとされる。
ナノマスクとして用いることができる層を形成する方法の2つの例は、以下のとおりである。ナノマスク層を形成する第1の方法は、ブロックコポリマーを用いるものである。ブロックコポリマーは、共有的に結びついて単一分子を形成する2つ又はそれ以上の化学的に別々のポリマー鎖を含む。相互斥力(mutual repulsion)のために、ブロックコポリマーは、異なるドメインに分離する傾向があり、1つのコポリマーを選択的に除去できることから自己組織化されたマイクロ構造が現れる。ナノマスク層を形成する第2の方法は、ナノ結晶を用いるものである。
第1のブロックコポリマーの例においては、ナノマスク層を形成するために、ポリメチルメタクリル酸塩(PMMA)含有ポリスチレン(PS)コポリマーが、表面上にスピン塗布され、次いで約100℃から約400℃までの間の温度に加熱されて、PMMAポリマー又はその一部が飛ばされる(drive offされる)。或いは、PMMAは、PS中のPMMAを優先的に溶解させる溶媒を用いることによって除去することができる。PMMAを除去する前に、PMMA/PSコポリマー層を、PMMA/PSコポリマーが電界において適用された表面に対して垂直な円筒形ドメインに垂直配向することができる。
第2のブロックコポリマーの例においては、PMMA/PSコポリマー層は、ポリスチレン・マトリックス中のPMMAシリンダの六角形にパックされたアレイへと自己集合(self−assemble)するようにされる。PMMAシリンダは、公知の手段の中でも、トルエン又は他の溶媒中の希薄ポリマー溶液を基板上にスピンコーティングし、結果として得られたフィルムをアニーリングすることによって、フィルムの平面に対して法線方向に配向させることができる。次いで、PMMAシリンダは、電子ビーム又は紫外線放射に曝し、酢酸又は他の有効な溶媒中で溶解させることによって除去される。結果として得られるナノマスク層は、典型的には、最大幅(又は直径)約20ナノメートルの六角形にパックされた穴を有する。2つのポリマーブロックの分子量及び相対的比を制御することによって、1つには、穴サイズを約2ナノメートルから約100ナノメートルまで、及び穴分離を約2ナノメートルから約100ナノメートルまで制御することができる。
第3のブロックコポリマーの例においては、PS/ポリブタジエン(PB)ブロックコポリマーが、ナノマスク層のための出発物質として用いられる。PS/PBブロックコポリマーは、PSマトリックスに組み込まれたPBシリンダの六角形にパックされたアレイへと自己集合する。PBシリンダは、公知の手段の中でも、トルエン又は類似の溶媒中の希薄PS/PBブロックコポリマー溶液の液滴を脱イオン水浴の表面上に分散させ、トルエンを揮発させることによって生成されるナノマスク層の平面に対して法線方向に配向される。これは、典型的には厚さ約100nmから約200nmのフィルムを後ろに残し、それは次いで、ナノマスクされるべき表面上に堆積させることができる。次いで、PBシリンダは、アニーリングし、PSとよりPBとの方が迅速に反応するオゾンと反応することによって除去され、それにより、典型的には最大幅(又は直径)約13nmの穴をもつナノマスク層を後ろに残す。コポリマーの分子量を制御することによって、1つには、穴サイズを最大幅(又は直径)約2ナノメートルから約100ナノメートルまで制御し、約2ナノメートルから約100ナノメートルまで離間させることができる。
第4のブロックコポリマーの例においては、PS/PBブロックコポリマー層(上記の第3ブロックコポリマーの例において説明されるように)は、PBシリンダに選択的に結合するOsOで処理される。これは、オゾンにPBより速い速度でPS成分を攻撃させ、それにより、穴の代わりにアイランドのパターンが後ろに残る。
第5のブロックコポリマーの例においては、PS/ポリイソプレン(PI)ブロックコポリマーが用いられる。PS/PIブロックコポリマーは、PIがシリンダではなく球へと自己集合すること以外は、上記の第3及び第4のブロックコポリマーの例において説明されたPS/PBブロックコポリマーと同様である。したがって、生成されることになるナノマスク層の平面に対して法線方向のPI成分の配向は必要とされない。PS−PIフィルムはまた、反転パターンを形成するようにOsOで処理されても良い。
第6のブロックコポリマーの例においては、PS/ポリ(スチレン−b−フェロセニルジメチルシラン)(PFS)ブロックコポリマーがスピン塗布され、酸素反応性イオンエッチング(RIE)によってPSが除去されて、PFSのアイランドが形成される。
第1のナノ結晶の例においては、ポリ無水マレイン酸ポリマー層が、ナノマスクされるべき表面上にパルスプラズマ蒸着される。次いで、ポリマー表面が、室温の暗所で約0.0008体積%の1,6−ヘキサンジチオール、約12体積%の水性アンモニア(35重量%)、及び約88体積%の2−プロパノールとの混合物のようなアルカンチオール溶液で処理される。リンス後に、処理された表面が、室温の暗所で約0.016重量%のCdSeナノ結晶を含有するトルエン溶液中に浸漬され、その間にCdSeナノ結晶が処理された表面に付着する。一例においては、約2.4から約4nmまでのCdSeナノ結晶を用いることができる。
第2のナノ結晶の例においては、CdSナノ結晶がCdSeナノ結晶に置き換えられる。
幾つかのナノマスク作成例を挙げたが、使用される下にある材料にナノマスクパターンを転写するエッチング・プロセスに材料が十分に耐えるならば、幅(又は直径)が約2nmから約100nmであって約2nmから約100nmだけ離間された材料のアイランドか、又はナノマスク層の上面から底面まで延び、幅(又は直径)が約2nmから約100nmであって約2nmから約100nmだけ離間された間隙を有する材料を含むナノマスク層のいずれかを用いて、本発明を実施することができる。
図3においては、ハードマスク層120(図2参照)が(例えばRIE処理によって)除去されて、パターン化されたハードマスク層120Aを形成し、パターン化されたナノマスク層125によってハードマスク層が保護されない場所ではシリコン基板100が露出する。したがって、ナノマスク層のパターンがハードマスク層に転写(transfer)される。
図4においては、ナノマスク層125(図3参照)が除去され、パターン化されたハードマスク層120Aによってシリコン層115(図3参照)が保護されない場所では(例えばRIEを酸素リッチ層105上で止めることによって)パターン化されたシリコン層115Aが形成される。パターン化されたシリコン層115Aは、パターン化されたシリコン層115Aの上面162から酸素リッチ層105まで延びる間隙領域161と、固体領域163とを含む。第1の例においては、間隙領域161は、連続固体領域163における穴である。第2の例においては、固体領域163は、間隙領域161によって囲まれたアイランドである。間隙領域161は、固体領域163の上面162に平行な方向に寸法D2の少なくとも1つの空間的広がりを有し、固体領域163は、固体領域163の上面162に平行な方向に寸法D3の少なくとも1つの空間的広がりを有する。D2及びD3の値は上記に説明されている。
図5においては、固体領域163の露出された側壁155上に窒化ケイ素スペーサ150が形成される。スペーサ150は、コンフォーマル窒化ケイ素層を堆積し、その後、二酸化ケイ素及びシリコンより窒化ケイ素を選択的にエッチングするRIEによって形成することができる。一例においては、コンフォーマル窒化ケイ素層は、約2nmから約5nmまでの間の厚さである。スペーサ150を形成した後に、酸素リッチ層105(図4参照)を埋設酸化物層105Aに変換するために熱酸化が行われる。一例においては、熱酸化は、約1000℃から約1200℃で約10ミリ秒から約600秒にわたって酸素、水蒸気、又は酸素/水蒸気雰囲気中で行われる。
図6においては、パターン化されたハードマスク層120A(図5参照)及びスペーサ150(図5参照)が除去され、単結晶IV族半導体層160が形成される。IV族半導体層160は、シリコン、ゲルマニウム、又は式SiGe(x=0から1及びy=1−xである)によって示されるシリコンゲルマニウムの混合物を含むことができる。x=0であるときには、IV族半導体層160は、シリコンではなくゲルマニウムを含有する。x=1であるときには、IV族半導体層160は、ゲルマニウムではなくシリコンを含有する。一例においては、ポリ−SiGeが堆積され、シード層としてパターン化されたシリコン層115Aを用いて水素中で約850℃でアニーリングすることによって単結晶層に変換される。単結晶シリコンシード(層)は、(エピタキシャル堆積の間に、もしくは850℃又はそれ以上の温度でアニールする間に用いられるような比較的高い温度で)シリコンシード(層)とシリコンシード(層)上に堆積されたシリコンを、シリコンシード(層)がもつのと同じ単結晶構造をもつ単一シリコン層に合体させることができるようにする。別の例においては、エピタキシャルSiGeが、シード層としてパターン化されたシリコン層115Aを用いて成長させられ、その後、約850℃で水素アニールされる。両方の例において、結果として比較的欠陥のない埋設酸化物層105A上の比較的欠陥のないIV族半導体層160が得られるが、通常は、エピタキシャル例の方が、より欠陥のないSiGe層をもたらす。或いは、水素中のレーザ・アニール及び熱アニールの組み合わせを行うこともできる。
図7においては、上記の図6に関して行われたアニールの結果として、パターン化されたシリコン層115A(図6参照)とIV族半導体層160(図6参照)が、シリコン層115(図1参照)がもつのと同じ単結晶構造をもつ単一の層に合体させる。単結晶IV族半導体層165を形成するために、IV族半導体層160(図6参照)の化学−機械−研磨(CMP)が行われる。単結晶IV族半導体層160(図6参照)がゲルマニウムを含む場合には、単結晶IV族半導体層165は、シリコンとゲルマニウムを含むことになる。埋設酸化物層105Aの上面166は、IV族半導体層165の上面167から下にD4の距離である。一例においては、D4は約20nmから約300nmである。こうして、シリコン・オン・インシュレータ(SOI)基板が製造される。
図8においては、電界効果トランジスタ(FET)185のソース170、ドレイン175及びチャネル領域180が、IV族半導体層165に形成されている。トレンチ分離190もまた、IV族半導体層165に形成されている。ゲート誘電体195及びゲート電極が、チャネル領域180上に形成されている。ゲート電極200は、随意的な絶縁側壁スペーサ205及び絶縁キャッピング層210と共に示されている。
図9から図13までは、本発明の第2の実施形態に係る半導体基板の埋設分離領域を形成するためのステップを示す部分断面図である。図9においては、シリコン基板225の上面220に二酸化ケイ素層215が形成される。一例においては、二酸化ケイ素層215は、厚さ約5nmから約100nmである。
図10においては、二酸化ケイ素層215の上面230にナノマスク層125が形成される。ナノマスク層125は、本発明の第1の実施形態に関連して上記で説明されている。
図11においては、二酸化ケイ素層215(図10参照)が(例えばRIE処理によって)除去されて、パターン化された二酸化ケイ素層215Aを形成し、ナノマスク層125によって二酸化ケイ素層215が保護されない場所ではシリコン基板225が露出する。パターン化された二酸化ケイ素層215Aは、パターン化されたシリコン層215Aの上面232から基板225の上面220まで延びる間隙領域231と、固体領域233とを含む。第1の例においては、間隙領域231は、連続固体領域233における穴である。第2の例においては、固体領域233は、間隙領域231によって囲まれたアイランドである。間隙領域231は、固体領域233の上面232に平行な方向に寸法D2の少なくとも1つの空間的広がりを有し、固体領域233は、固体領域233の上面232に平行な方向に寸法D3の少なくとも1つの空間的広がりを有する。D2及びD3の値は上記に説明されている。
図12においては、ナノマスク層125(図11参照)が除去され、露出されたシリコン基板225をシードとして用いて単結晶IV族半導体層235が形成される。IV族半導体層235は、シリコン、ゲルマニウム、又は式SiGe(x=0から1及びy=1−xである)によって示されるシリコンゲルマニウムの混合物を含むことができる。x=0であるときには、IV族半導体層235は、シリコンではなくゲルマニウムを含有する。x=1であるときには、IV族半導体層235は、ゲルマニウムではなくシリコンを含有する。一例においては、ポリ−SiGeが堆積され、水素中で約850℃でアニーリングし、その後CMP処理することによって単結晶層に変換される。別の例においては、エピタキシャルSiGeが、シード層としてパターン化されたシリコン層115Aを用いて成長させられ、その後、約850℃で水素アニールされ、CMP処理される。ここでもまた、通常は、エピタキシャル例の方が、より欠陥のないシリコン層をもたらす。或いは、水素中のレーザ・アニール及び熱アニールの組み合わせを行うこともできる。パターン化された埋設酸化物層215Aの上面236は、IV族半導体層235の上面237から下にD4の距離である。一例においては、D4は約20nmから約300nmである。こうして、シリコン・オン・インシュレータ(SOI)又はSiGe・オン・インシュレータ基板が製造されるが、インシュレータ部分は連続していない。
図13においては、FET185Aのソース170、ドレイン175及びチャネル領域180が、IV族半導体層235に形成されている。トレンチ分離190もまた、IV族半導体層235に形成されている。随意的なウェル240が基板225に形成されている。ウェルは、例えば、イオン打ち込みによってドープされた基板の領域であり、そこにFETの拡散部分が形成される。随意的なウェル240は、トレンチ分離190の下に部分的に延びている。ゲート誘電体195及びゲート電極200が、チャネル領域180上に形成されている。ゲート電極200は、随意的な絶縁側壁スペーサ205及び絶縁キャッピング層210と共に示されている。二酸化ケイ素層215Aの開口部は、IV族半導体層235と基板225との間の直接的な接触を可能にし、それによりFET185Aの改善された冷却及び本体電位制御を与える。
図14から図18は、本発明の第3の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するためのステップを示す部分断面図である。図14においては、シリコン基板225の上面220に二酸化ケイ素層215が形成される。一例においては、二酸化ケイ素層215は、厚さ約5nmから約100nmである。二酸化ケイ素層215の上面230に窒化ケイ素層245が形成される。一例においては、窒化ケイ素層245は、厚さ約50nmから約100nmである。窒化ケイ素層245に開口部250が形成され、該開口部において二酸化ケイ素層215が露出される。
図15においては、二酸化ケイ素層215の上面230にナノマスク125が形成される。ナノマスク層125は、本発明の第1の実施形態に関連して上記で説明されている。
図16においては、二酸化ケイ素層215(図15参照)が(例えば窒化ケイ素に対して選択的なRIE処理によって)除去されて、パターン化された二酸化ケイ素層215Bを形成し、ナノマスク層125によって二酸化ケイ素層が保護されない場所ではシリコン基板225が露出する。パターン化された二酸化ケイ素層215Bは、パターン化されたシリコン層215Bの上面252から基板225の上面220まで延びる間隙領域251と、固体領域253とを含む。第1の例においては、間隙領域251は、連続固体領域253における穴である。第2の例においては、固体領域253は、間隙領域251によって囲まれたアイランドである。間隙領域251は、固体領域253の上面232に平行な方向に寸法D2の少なくとも1つの空間的広がりを有し、固体領域253は、固体領域253の上面252に平行な方向に寸法D3の少なくとも1つの空間的広がりを有する。D2及びD3の値は上記に説明されている。
図17においては、ナノマスク層125(図16参照)及び窒化物層245が除去され、露出されたシリコン基板225をシードとして用いて単結晶IV族半導体層235が形成される。一例においては、ポリ−SiGeが堆積され、水素中で約850℃でアニーリングし、その後CMP処理することによって単結晶層に変換される。別の例においては、エピタキシャルSiGeが、シード層として基板225を用いて成長させられ、その後、約850℃で水素アニールされ、CMP処理される。ここでもまた、通常は、エピタキシャル例の方が、より欠陥のないシリコン層をもたらす。或いは、水素中のレーザ・アニール及び熱アニールの組み合わせを行うこともできる。パターン化された埋設酸化物層215Bの上面266は、IV族半導体層235の上面267から下にD4の距離である。一例においては、D4は約20nmから約300nmである。こうして、シリコン・オン・インシュレータ(SOI)基板が製造されるが、インシュレータ部分は連続していない。
図18においては、FET185Cのソース170、ドレイン175及びチャネル領域180が、IV族半導体層235に形成されている。ソース170及びドレイン175は、パターン化された二酸化ケイ素層215Bの第2領域265上に位置合わせされ、チャネル領域180及びゲート電極200は、パターン化された酸化物層215Bの第1領域260(アイランド又は間隙をもつ)上に位置合わせされる。トレンチ分離190もまた、IV族半導体層235に形成されている。随意的な(optional)ウェル240が基板225に形成されている。随意的なウェル240は、トレンチ分離190の下に部分的に延び、基板225のドーピング・タイプとは反対に、及び/又は異なるドーピング濃度までドープされる。ゲート誘電体195及びゲート電極200が、チャネル領域180上に形成されている。ゲート電極200は、随意的な絶縁側壁スペーサ205及び絶縁キャッピング層210と共に示されている。二酸化ケイ素層215Bの開口部は、IV族半導体層235と基板225との間の直接的な接触を可能にし、それによりFET185Bの改善された冷却及び本体電位制御を与える。第1領域260は、ソース170及び/又はドレイン175の下に部分的に延びることができ、第2領域265は、ゲート電極200の下に部分的に延びることができることに留意されたい。
図19から図30は、本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するためのステップを示す部分断面図である。図19においては、トレンチ分離190及び随意的なウェル240が、シリコン基板270に形成される。
図20においては、随意的なウェル240上の基板270の上面275にダミー・ゲート280が形成される。一例においては、ダミー・ゲート280は、タングステン、ハフニウム、もしくはタンタル又はポリシリコンを含む。ダミー・ゲート280は、その後の酸化及びアニール・プロセスの温度に耐える材料からなるべきである。ダミー・ゲート280は、例えば、随意的なウェル240と接触する二酸化ケイ素層、及び酸化物上のタングステン層、並びに必要であれば窒化ケイ素キャップといった幾つかの層を含むことができる。ダミー・ゲート280は、その後の酸化プロセスの間にダミー・ゲートの酸化を防止するために、材料でカプセル化することができる。一例においては、窒化ケイ素層は、ダミー・ゲートをその後の加工ステップから保護するために、ダミー・ゲート280の全ての露出面上に形成される。一例においては、ダミー・ゲート280は、約50nmから約300nmの間の厚さを有する。基板270の上面275とダミー・ゲート280の上面285にハードマスク層290が形成される。一例においては、ハードマスク層290は、二酸化ケイ素か、又は二酸化ケイ素層上の窒化ケイ素層である。
図21においては、ナノマスク125が、二酸化ケイ素層290の上面295に形成される。ナノマスク層125は、本発明の第1の実施形態に関連して上記で説明されている。
図22においては、二酸化ケイ素層290(図21参照)が(例えばRIE処理によって)除去されて、パターン化された二酸化ケイ素層290Aを形成し、ナノマスク層125によって二酸化ケイ素層が保護されない場所ではシリコン基板270が露出する。パターン化された二酸化ケイ素層290Aは、二酸化ケイ素領域を含み、間隙領域が、パターン化された二酸化ケイ素層290Aを通して完全に形成される。ナノマスク層125のパターン及び像寸法が、パターン化されたハードマスク層290Aに転写される。
次に、パターン化された二酸化ケイ素層290Aによってシリコン基板270が保護されない場所では、例えばRIE処理を用いて、シリコン基板270に開口部300がエッチングされる。開口部300は、シリコンのアイランドを取り囲む間隙か、又はシリコン基板270に形成された間隙を含むことができる。パターン化された二酸化ケイ素層290Aは、基板270の上面275から距離D5だけ基板の中に延びる間隙領域開口部300とシリコン領域302とを含む。第1の例においては、開口部領域300は、連続固体領域302における穴である。第2の例においては、固体領域302は、開口部領域300によって取り囲まれるアイランドである。開口部300は、固体領域302の上面301に平行な方向に寸法D2の少なくとも1つの空間的広がりを有し、固体領域302は、固体領域302の上面301に平行な方向に寸法D3の少なくとも1つの空間的広がりを有する。D2及びD3の値は上記に説明されている。開口部300は深さD5までエッチングされる。一例においては、D5は約20nmから約300nmまでの間である。随意的には、ナノマスク層125は、ハードマスク層290をエッチングした後であるがシリコン基板270をエッチングする前に除去することができる。
図23においては、ナノマスク層125(図22参照)が除去され、窒化ケイ素スペーサ305が開口部300の側壁310に形成され、図24においては、開口部300の底部320において露出されたシリコンの熱酸化によってパターン化された埋設酸化物層315が形成される。一例においては、約1000℃から約1200℃の温度で約5分から約60分にわたって酸素、水蒸気又は酸素/水蒸気雰囲気において熱酸化が行われる。
図25においては、窒化ケイ素スペーサ305(図24参照)が除去され、エピタキシャルIV族半導体材料が開口部300に選択的に堆積され、エピタキシャル又はポリIV族半導体団塊(modules)322を形成する。IV族半導体団塊322は、シリコン、ゲルマニウム、又は式SiGe(x=0から1及びy=1−xである)によって示されるシリコンゲルマニウムの混合物を含むことができる。x=0であるときには、IV族半導体団塊322は、シリコンではなくゲルマニウムを含有する。x=1であるときには、IV族半導体団塊322は、ゲルマニウムではなくシリコンを含有する。次いで、シリコン基板270とIV族半導体団塊322とをリフローするために、約850℃において水素アニールが行われる。アニール・プロセスはまた、埋設酸化物層315から欠陥をなくす。
図26においては、上記の図25に関して行われたアニールの結果として、IV族半導体団塊322(図25参照)とシリコン基板270とが、シリコン基板270がもつのと同じ単結晶構造をもつ単一の層に合体させる。IV族半導体団塊322(図25参照)がゲルマニウムを含む場合には、酸化物層315と基板270の上面275との間の基板領域270は、シリコンとゲルマニウムを含むことになる。残存している微量のパターン化されたハードマスク層290A(図25参照)のいずれも除去され、図27において、例えばイオン打ち込みによってソース170及びドレイン175が形成され、それによりチャネル領域180が画定される。パターン化された埋設酸化物層315の上面316は、基板270の上面275より下にD4の距離である。一例においては、D4は、約20nmから約300nmである。埋設酸化物層315は、ダミー・ゲート280の下に認めうるほどには延びないことに留意されたい。
図28においては、二酸化ケイ素層325がブランケット堆積され、二酸化ケイ素層325の上面330及びダミー・ゲート280の(新しい)上面335を共面化(co−planarize)するためにCMP処理が行われる。
図29においては、ダミー・ゲート280(図28参照)が除去され、ゲート誘電体層340が堆積され、その後、ゲート導体層345が堆積される。或いは、ゲート誘電体を堆積する代わりに、薄いゲート酸化物が熱により成長させられる。
図30においては、ゲート導体層345及びゲート誘電体層340(図29参照)にCMP処理を行って、ゲート電極350を形成する。したがって、ソース170、ドレイン175、チャネル180、ゲート誘電体340及びゲート電極350を含むFET185Dが製造される。埋設酸化物層315の開口部は、シリコン層チャネル領域180と基板270との間の直接接触を可能にし、それによりFET185Dの改善された冷却及び本体電位制御を与える。埋設酸化物層315は、ゲート電極200の下を部分的に延びることができることに留意されたい。
図31から図40は、本発明の第5の実施形態に係る半導体基板の埋設分離領域を形成するためのステップを示す部分断面図である。図31においては、シリコン基板270にトレンチ分離190及び随意的なウェル240が形成される。
図32においては、随意的なウェル240上の基板270の上面275にダミー・ゲート280が形成される。一例においては、ダミー・ゲート280は、タングステン、ハフニウム、タンタル又はポリシリコンを含む。ダミー・ゲート280は、本発明の第4の実施形態を参照しながら上記で説明されている。ダミー・ゲート280は、同様にカプセル化することができる。一例においては、その後の加工ステップからダミー・ゲートを保護するために、ダミー・ゲート280の全ての露出面上に窒化ケイ素層が形成される。
図33においては、二酸化ケイ素層290の上面295にナノマスク125が形成される。ナノマスク層125は、本発明の第1の実施形態に関連して上記で説明されている。
図34においては、パターン化されたナノマスク層290Aによってシリコン基板270が保護されない場所では、例えばRIE処理を用いて、シリコン基板270に開口部300がエッチングされる。或いは、本発明の第4の実施形態において用いられるように、ハードマスク層を用いて、ナノマスク125のパターンをシリコン基板270に転写することができる。第1の例においては、開口部領域300は、連続固体領域302における穴である。第2の例においては、固体領域302は、開口部領域300によって取り囲まれるアイランドである。開口部領域300は、固体領域302の上面301に平行な方向に寸法D2の少なくとも1つの空間的広がりを有し、固体領域302は、固体領域302の上面301に平行な方向に寸法D3の少なくとも1つの空間的広がりを有する。D2及びD3の値は上記に説明されている。開口部300は深さD5までエッチングされる。一例においては、D5は約20nmから約300nmまでの間である。
次に、図35において、ナノマスク層125(図34参照)が除去される。
図36においては、約1100℃で水素アニールが行われ、それによりシリコン基板が流動し、開口部300(図35参照)が、シリコン基板270の上面275から距離D6においてダミー・ゲート280の両側に位置する間隙355に合体させる。一例においては、D6は約20nmから約250nmである。各間隙355は、単一キャビティとして示されるが、各間隙355は、間隙領域(すなわちシリコンの薄い壁によって互いに分離された一群の隣接する個々のキャビティであり、キャビティの幾つかは相互にくっついている)
図37においては、例えばイオン打ち込みによってソース170及びドレイン175が形成され、それによりチャネル領域180が画定される。間隙355は、ダミー・ゲート280の下に認めうるほどには延びないことに留意されたい。
図38においては、二酸化ケイ素層325がブランケット堆積され、二酸化ケイ素層325の上面330及びダミー・ゲート280の(新しい)上面335を共面化するためにCMP処理が行われる。
図39においては、ダミー・ゲート280(図38参照)が除去され、ゲート誘電体層340が堆積され、その後、ゲート導体層345が堆積される。或いは、ゲート誘電体を堆積する代わりに、薄いゲート酸化物が熱により成長させられる。
図40においては、ゲート導体層345及びゲート誘電体層340(図39参照)にCMP処理を行って、ゲート電極350を形成する。したがって、ソース170、ドレイン175、チャネル180、ゲート誘電体340及びゲート電極350を含むFET185Eが製造される。間隙355間のスペースは、シリコン層チャネル領域180と基板270との間の直接的な接触を可能にし、それによりFET185Eの改善された冷却を与える。さらに、対処するべき埋設酸化物欠陥は存在しない。間隙355は、ゲート電極350の下を部分的に延びることができることに留意されたい。
したがって、本発明は、半導体基板の埋設分離領域を形成する改善された方法と、埋設分離領域を有する半導体デバイスを形成し、なおかつデバイスの冷却及び本体電位制御を与える方法を提供する。
本発明の実施形態の説明は、本発明の理解のために上記に与えられる。本発明は、ここで説明された特定の実施形態に限定されるものではなく、本発明の範囲から逸脱することなく当業者には明らかとなるであろう種々の変更、再配置及び置換が可能であることを理解されたい。したがって、特許請求の範囲の請求項は、全てのこうした変更及び変化を、本発明の真の精神及び範囲内に含まれるものとして包含することを意図されている。
本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第1の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第2の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第2の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第2の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第2の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第2の実施形態に係る半導体基板の埋設分離領域を形成するステップを示す部分断面図である。 本発明の第3の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第3の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第3の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第3の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第3の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第4の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。 本発明の第5の実施形態に係る半導体基板の半導体デバイスの埋設分離領域を形成するステップを示す部分断面図である。
符号の説明
100:基板
105A:酸素リッチ層
165:IV族半導体層
170:ソース
175:ドレイン
180:チャネル領域
185:電界効果トランジスタ
190:トレンチ分離
195:ゲート誘電体
200:ゲート電極
205:絶縁側壁スペーサ
210:絶縁キャッピング層

Claims (27)

  1. 半導体構造を形成する方法であって、
    (a)単結晶シリコン基板を用意するステップと、
    (b)前記基板の上面にハードマスク層を形成するステップと、
    (c)光リソグラフィ・プロセスを行わずに、マスキング・パターンをもつナノマスク層を前記ハードマスク層の上面に形成するステップと、
    (d)前記マスキング・パターンを前記ハードマスク層にエッチングして、パターン化されたハードマスク層の上面から前記基板の上面まで延びる開口部を、該開口部又は該開口部間の隔たり、若しくは該開口部と該開口部間の隔たりとの両方がパターン化されたハードマスク層の上面に平行に延びる少なくとも1つの空間的広がり(spatial extent)を個別に有する形態で、パターン化されたハードマスク層を形成するステップと、
    (e)前記ナノマスク層を除去した後に、前記パターン化されたハードマスク層の開口部を埋める単結晶IV族半導体層を、前記パターン化されたハードマスク層の上面に形成するステップと、
    を含む方法。
  2. 前記単結晶IV族半導体層が、エピタキシャルシリコン、エピタキシャルゲルマニウム、又はシリコンとゲルマニウムのエピタキシャル混合物を堆積することによって形成されることを特徴とする請求項1に記載の方法。
  3. (f)前記IV族半導体層をアニーリングするステップをさらに含む、請求項1に記載の方法。
  4. (f)前記単結晶IV族半導体層の上面を平坦化するために化学機械研磨を行うステップをさらに含む、請求項1に記載の方法。
  5. 前記パターン化されたハードマスク層がハードマスクのアイランドを含むか、又は前記開口部が前記パターン化されたハードマスク層内における穴であることを特徴とする請求項1に記載の方法。
  6. 前記パターン化されたハードマスク層の上面が、前記単結晶IV族半導体層の上面より約20ナノメートル乃至約300ナノメートル下にあることを特徴とする請求項1に記載の方法。
  7. 前記ナノマスク層が2つ又はそれ以上の異なるポリマーを含むブロックコポリマー層からなり、該ブロックコポリマー層から前記ポリマーのうちの1つの全て又は一部が除去されることを特徴とする請求項1に記載の方法。
  8. 前記ナノマスク層がナノ結晶を含むことを特徴とする請求項1に記載の方法。
  9. 前記単結晶IV族半導体層の上面にゲート誘電体を形成するステップと、
    前記ゲート誘電体の上面にゲート電極を形成するステップと、
    前記ゲート電極の両側において前記単結晶IV族半導体層にソース及びドレインを形成するステップと、
    をさらに含む、請求項1に記載の方法。
  10. 前記ステップ(b)と前記ステップ(c)との間で、前記ソース及び前記ドレインの形成のために確保された前記単結晶IV族半導体層の領域上の前記ハードマスク層の上面に保護層を形成するステップをさらに含み、前記保護層は、前記マスキング・パターンが前記ハードマスク層に転写されるのを防止することを特徴とする請求項9に記載の方法。
  11. 前記少なくとも1つの空間的広がりが、約2ナノメートル乃至約100ナノメートルであることを特徴とする請求項1に記載の方法。
  12. 前記単結晶IV族半導体層がSixGeyを含み、ここでx=0から1及びy=x−1であることを特徴とする請求項1に記載の方法。
  13. 半導体構造を形成する方法であって、
    (a)単結晶シリコン基板を用意するステップと、
    (b)前記基板の上面にダミー・ゲートを形成するステップと、
    (c)光リソグラフィ・プロセスを行わずに、マスキング・パターンをもつナノマスク層を前記基板の上面及び前記ダミー・ゲートの上面に形成するステップと、
    (d)前記ダミー・ゲートによって前記基板がカバーされていない場所で前記マスキング・パターンを前記基板にエッチングして、前記基板の上面から所定の距離だけ前記基板の中に延びる開口部を、該開口部又は該開口部間の隔たり、若しくは該開口部と該開口部間の隔たりとの両方が前記パターン化された層の上面に平行に延びる少なくとも1つの空間的広がりを個別に有する形態で、前記基板にパターン化されたシリコン領域を形成するステップと、
    (e)前記ナノマスク層を除去した後に、前記基板の上面及び前記開口部の側壁に保護層を形成するステップと、
    (f)前記開口部の底面において露出された前記基板を酸化させて、パターン化された埋設二酸化ケイ素層を形成するステップと、
    (g)前記開口部の前記側壁から前記保護層を除去するステップと、
    (h)前記開口部を単結晶IV族半導体材料で埋めるステップと、
    を含む方法。
  14. 前記ステップ(h)が、前記開口部にポリ−SixGey、ここでx=0から1及びy=x−1、を選択的に堆積させ、前記SixGeyをアニーリングすることを含む、請求項13に記載の方法。
  15. 前記開口部が、エピタキシャルSixGeyを前記開口部に堆積させることによって、単結晶SixGey、ここでx=0から1及びy=x−1、で埋められることを特徴とする請求項13に記載の方法。
  16. 前記基板の前記ダミー・ゲートの両側にソース及びドレインを形成するステップと、
    前記ダミー・ゲート及び前記ダミー・ゲートによってカバーされない前記基板の上面に平坦化層を形成するステップと、
    前記平坦化層の上面と前記ダミー・ゲートの上面を共面化するステップと、前記ダミー・ゲートを除去するステップと、
    前記ダミー・ゲートを除去することによって露出された前記基板の上面にゲート誘電体を形成するステップと、
    前記ソース及び前記ドレインと自己位置合わせするゲート電極を前記ゲート誘電体の上面に形成するステップと、
    をさらに含む、請求項13に記載の方法。
  17. 前記パターン化された埋設二酸化ケイ素層が前記ソース及び前記ドレインの下に延びることを特徴とする請求項16に記載の方法。
  18. 前記パターン化された埋設二酸化ケイ素層が前記ゲート電極の下に完全には延びないことを特徴とする請求項16に記載の方法。
  19. 前記ステップ(a)の前に、前記基板に埋設された酸素リッチ層を形成することをさらに含む、請求項13に記載の方法。
  20. 前記開口部が、前記基板の上面から前記酸素リッチ層に延びることを特徴とする請求項19に記載の方法。
  21. 半導体構造を形成する方法であって、
    (a)単結晶シリコン基板を用意するステップと、
    (b)前記基板の上面にダミー・ゲートを形成するステップと、
    (c)光リソグラフィ・プロセスを行わずに、マスキング・パターンをもつナノマスク層を前記基板の上面及び前記ダミー・ゲートの上面に形成するステップと、
    (d)前記ダミー・ゲートによって前記基板がカバーされていない場所で前記マスキング・パターンを前記基板にエッチングして、前記基板の上面から所定の距離だけ前記基板の中に延びる開口部を、該開口部又は該開口部間の隔たり、若しくは該開口部と前記該開口部間の隔たりとの両方が前記パターン化された層の上面に平行に延びる少なくとも1つの空間的広がりを個別に有する形態で、前記基板に形成するステップと、
    (e)前記基板をアニーリングして、前記基板の上面に隣接してシリコンをリフローさせ、前記基板の上面から前記開口部を埋設間隙に合体させるステップと、
    を含む方法。
  22. 前記基板の前記ダミー・ゲートの両側にソース及びドレインを形成するステップと、
    前記ダミー・ゲート及び前記ダミー・ゲートによってカバーされない前記基板の上面に平坦化層を形成するステップと、
    前記平坦化層の上面と前記ダミー・ゲートの上面を共面化するステップと、
    前記ダミー・ゲートを除去するステップと、
    前記ダミー・ゲートを除去することによって露出された前記基板の上面にゲート誘電体を形成するステップと、
    前記ソース及び前記ドレインと自己位置合わせするゲート電極を前記ゲート誘電体の上面に形成するステップと、
    をさらに含む、請求項21に記載の方法。
  23. 前記1つ又はそれ以上の埋設間隙が前記ソースの下に延び、1つ又はそれ以上の埋設間隙
    が前記ドレインの下に延びることを特徴とする請求項22に記載の方法。
  24. 半導体構造であって、
    シリコン下層と、前記シリコン下層の上面上のパターン化された埋設酸化物層とを含み、
    前記パターン化された埋設酸化物層が開口部を有し、該開口部が前記パターン化された埋設酸化物層を通って延び、かつ、単結晶IV族半導体材料で埋められており、前記パターン化された埋設酸化物層の上に単結晶IV族半導体層があり、前記開口部の幅又は前記開口部間の隔たり、若しくは前記開口部と前記開口部間の隔たりとの両方が、光リソグラフィにより画定可能な寸法より小さい少なくとも1つの空間的広がりを個別に有し、前記少なくとも1つの空間的広がりが基板の上面に平行に延びるようにされた基板と、
    前記シリコン基板の上面のゲート誘電体と、
    前記ゲート誘電体の上面のゲート電極と、
    前記基板に形成された前記ゲート電極の両側のソース及びドレインと、
    を含む半導体構造。
  25. 前記パターン化された埋設酸化物層が、前記ソース及び前記ドレインの下では延びないが前記ゲート電極の下では延びることを特徴とする請求項24に記載の構造。
  26. 前記パターン化された埋設酸化物層が、前記ゲート電極の下に延び、かつ前記ソース及び前記ドレインの下に部分的に延びることを特徴とする請求項24に記載の構造。
  27. 前記ソース及び前記ドレインの下に延びる連続埋設酸化物層をさらに含み、前記パターン化された埋設酸化物層が前記ゲート電極の下に延びることを特徴とする請求項24に記載の構造。
JP2006018863A 2005-01-28 2006-01-27 半導体基板の埋設分離領域を形成する方法及び埋設分離領域をもつ半導体デバイス Expired - Fee Related JP5064689B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/905,980 US7071047B1 (en) 2005-01-28 2005-01-28 Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US10/905980 2005-01-28

Publications (3)

Publication Number Publication Date
JP2006210927A JP2006210927A (ja) 2006-08-10
JP2006210927A5 JP2006210927A5 (ja) 2008-11-13
JP5064689B2 true JP5064689B2 (ja) 2012-10-31

Family

ID=36613693

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006018863A Expired - Fee Related JP5064689B2 (ja) 2005-01-28 2006-01-27 半導体基板の埋設分離領域を形成する方法及び埋設分離領域をもつ半導体デバイス

Country Status (4)

Country Link
US (3) US7071047B1 (ja)
JP (1) JP5064689B2 (ja)
CN (1) CN100517635C (ja)
TW (1) TWI374507B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100605497B1 (ko) * 2003-11-27 2006-07-28 삼성전자주식회사 에스오아이 기판들을 제조하는 방법들, 이를 사용하여반도체 소자들을 제조하는 방법들 및 그에 의해 제조된반도체 소자들
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US20100117152A1 (en) * 2007-06-28 2010-05-13 Chang-Woo Oh Semiconductor devices
KR100843717B1 (ko) * 2007-06-28 2008-07-04 삼성전자주식회사 플로팅 바디 소자 및 벌크 바디 소자를 갖는 반도체소자 및그 제조방법
KR100555569B1 (ko) 2004-08-06 2006-03-03 삼성전자주식회사 절연막에 의해 제한된 채널영역을 갖는 반도체 소자 및 그제조방법
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060131265A1 (en) * 2004-12-17 2006-06-22 Samper Victor D Method of forming branched structures
KR100631905B1 (ko) * 2005-02-22 2006-10-11 삼성전기주식회사 질화물 단결정 기판 제조방법 및 이를 이용한 질화물 반도체 발광소자 제조방법
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7241695B2 (en) * 2005-10-06 2007-07-10 Freescale Semiconductor, Inc. Semiconductor device having nano-pillars and method therefor
US20070249138A1 (en) * 2006-04-24 2007-10-25 Micron Technology, Inc. Buried dielectric slab structure for CMOS imager
US20100047959A1 (en) * 2006-08-07 2010-02-25 Emcore Solar Power, Inc. Epitaxial Lift Off on Film Mounted Inverted Metamorphic Multijunction Solar Cells
US7482270B2 (en) * 2006-12-05 2009-01-27 International Business Machines Corporation Fully and uniformly silicided gate structure and method for forming same
US7514339B2 (en) * 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US8299455B2 (en) * 2007-10-15 2012-10-30 International Business Machines Corporation Semiconductor structures having improved contact resistance
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
FR2990794B1 (fr) * 2012-05-16 2016-11-18 Commissariat Energie Atomique Procede de realisation d'un substrat muni de zones actives variees et de transistors planaires et tridimensionnels
FR3000235B1 (fr) * 2012-12-21 2016-06-24 Arkema France Procede de fabrication de masques nanolithographiques
JP6299658B2 (ja) * 2015-04-22 2018-03-28 トヨタ自動車株式会社 絶縁ゲート型スイッチング素子
JP2016207830A (ja) * 2015-04-22 2016-12-08 トヨタ自動車株式会社 絶縁ゲート型スイッチング素子とその制御方法
US9722057B2 (en) * 2015-06-23 2017-08-01 Global Foundries Inc. Bipolar junction transistors with a buried dielectric region in the active device region

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH034514A (ja) * 1989-06-01 1991-01-10 Clarion Co Ltd ウエハの製造方法
US5243559A (en) * 1990-12-12 1993-09-07 Nippon Steel Corporation Semiconductor memory device
JPH0590396A (ja) 1991-09-30 1993-04-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH07502479A (ja) 1991-11-22 1995-03-16 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 自己集合性単一層を使って固体無機表面に共有結合した半導体微少結晶
US5298773A (en) 1992-08-17 1994-03-29 United Technologies Corporation Silicon-on-insulator H-transistor layout for gate arrays
US5338571A (en) 1993-02-10 1994-08-16 Northwestern University Method of forming self-assembled, mono- and multi-layer fullerene film and coated substrates produced thereby
JP3036619B2 (ja) 1994-03-23 2000-04-24 コマツ電子金属株式会社 Soi基板の製造方法およびsoi基板
EP0694960B1 (en) * 1994-07-25 2002-07-03 Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno - CoRiMMe Process for the localized reduction of the lifetime of charge carriers
US5731619A (en) * 1996-05-22 1998-03-24 International Business Machines Corporation CMOS structure with FETS having isolated wells with merged depletions and methods of making same
FR2758907B1 (fr) * 1997-01-27 1999-05-07 Commissariat Energie Atomique Procede d'obtention d'un film mince, notamment semiconducteur, comportant une zone protegee des ions, et impliquant une etape d'implantation ionique
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3762136B2 (ja) * 1998-04-24 2006-04-05 株式会社東芝 半導体装置
US6376285B1 (en) 1998-05-28 2002-04-23 Texas Instruments Incorporated Annealed porous silicon with epitaxial layer for SOI
KR100294640B1 (ko) * 1998-12-24 2001-08-07 박종섭 부동 몸체 효과를 제거한 실리콘 이중막 소자 및 그 제조방법
JP4365920B2 (ja) 1999-02-02 2009-11-18 キヤノン株式会社 分離方法及び半導体基板の製造方法
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6573565B2 (en) 1999-07-28 2003-06-03 International Business Machines Corporation Method and structure for providing improved thermal conduction for silicon semiconductor devices
JP4074051B2 (ja) * 1999-08-31 2008-04-09 株式会社東芝 半導体基板およびその製造方法
US6221737B1 (en) 1999-09-30 2001-04-24 Philips Electronics North America Corporation Method of making semiconductor devices with graded top oxide and graded drift region
US6521974B1 (en) * 1999-10-14 2003-02-18 Hitachi, Ltd. Bipolar transistor and manufacturing method thereof
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6579463B1 (en) 2000-08-18 2003-06-17 The Regents Of The University Of Colorado Tunable nanomasks for pattern transfer and nanocluster array formation
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
US6444534B1 (en) * 2001-01-30 2002-09-03 Advanced Micro Devices, Inc. SOI semiconductor device opening implantation gettering method
US6551937B2 (en) 2001-08-23 2003-04-22 Institute Of Microelectronics Process for device using partial SOI
JP2003069029A (ja) 2001-08-27 2003-03-07 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7132297B2 (en) * 2002-05-07 2006-11-07 Agere Systems Inc. Multi-layer inductor formed in a semiconductor substrate and having a core of ferromagnetic material
JP4277481B2 (ja) * 2002-05-08 2009-06-10 日本電気株式会社 半導体基板の製造方法、半導体装置の製造方法
JP4031329B2 (ja) * 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6929984B2 (en) * 2003-07-21 2005-08-16 Micron Technology Inc. Gettering using voids formed by surface transformation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory

Also Published As

Publication number Publication date
CN100517635C (zh) 2009-07-22
TWI374507B (en) 2012-10-11
US7071047B1 (en) 2006-07-04
US20080128811A1 (en) 2008-06-05
CN1828861A (zh) 2006-09-06
US20060172479A1 (en) 2006-08-03
US7352030B2 (en) 2008-04-01
TW200711004A (en) 2007-03-16
JP2006210927A (ja) 2006-08-10

Similar Documents

Publication Publication Date Title
JP5064689B2 (ja) 半導体基板の埋設分離領域を形成する方法及び埋設分離領域をもつ半導体デバイス
KR100923193B1 (ko) 나노스케일 다중접합 양자점 소자 및 그 제조방법
CN110060972B (zh) 用于后段(beol)互连的自对准过孔及插塞图案化
KR101248339B1 (ko) 상이한 높이들을 갖는 인접하는 실리콘 핀들을 제조하는 방법
JPH03291921A (ja) 集積回路製作方法
US8642403B1 (en) Replacement contacts for all-around contacts
US8207028B2 (en) Two-dimensional patterning employing self-assembled material
US20040077107A1 (en) Method of making nanoscopic tunnel
US7781274B2 (en) Multi-gate field effect transistor and method for manufacturing the same
JP2005252266A (ja) 均一に分布されたシリコンナノドットが含まれたゲートを備えるメモリ素子の製造方法
US6211054B1 (en) Method of forming a conductive line and method of forming a local interconnect
KR100325298B1 (ko) 비휘발성 메모리 소자의 제조 방법
JP4525928B2 (ja) 半導体装置の製造方法
KR20130035846A (ko) 미세 구조체의 제조 방법
TWI703714B (zh) 形成影像感測元件中多晶矽閘極結構之方法、多晶矽閘極結構以及保護影像感測元件的畫素之方法
US7135407B2 (en) Method of manufacturing a semiconductor device
JP2004311953A (ja) 超微細チャンネルを有するmosfet素子及びその製造方法
KR100511907B1 (ko) 반도체 소자의 제조방법
KR20090066405A (ko) 플래시 메모리 소자의 제조 방법
JP2005197724A (ja) 量子点を用いた非揮発性メモリーの製造方法
KR100620195B1 (ko) 게이트 전극 형성 방법
KR100314810B1 (ko) 대머신 게이트를 적용한 반도체 소자 제조방법
TW202109624A (zh) 積體電路的製作方法
KR100779015B1 (ko) 반도체 소자의 제조 방법
JP2006216893A (ja) パターン形成方法、半導体装置の製造方法、半導体装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081001

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081001

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120319

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120529

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120724

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120809

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150817

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees