JP4808622B2 - 格子不整合エピタキシャル拡張領域ならびにソースおよびドレイン領域を有するひずみチャネルcmosトランジスタ構造体およびその製造方法 - Google Patents

格子不整合エピタキシャル拡張領域ならびにソースおよびドレイン領域を有するひずみチャネルcmosトランジスタ構造体およびその製造方法 Download PDF

Info

Publication number
JP4808622B2
JP4808622B2 JP2006526140A JP2006526140A JP4808622B2 JP 4808622 B2 JP4808622 B2 JP 4808622B2 JP 2006526140 A JP2006526140 A JP 2006526140A JP 2006526140 A JP2006526140 A JP 2006526140A JP 4808622 B2 JP4808622 B2 JP 4808622B2
Authority
JP
Japan
Prior art keywords
pfet
semiconductor
nfet
region
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006526140A
Other languages
English (en)
Other versions
JP2007509486A5 (ja
JP2007509486A (ja
Inventor
ドクマチ、オマー
チェン、フアジー
チダンバラオ、ドゥレセティ
ヤング、ハイニング、エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007509486A publication Critical patent/JP2007509486A/ja
Publication of JP2007509486A5 publication Critical patent/JP2007509486A5/ja
Application granted granted Critical
Publication of JP4808622B2 publication Critical patent/JP4808622B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は半導体集積回路の製造に関し、詳細には、格子不整合(lattice−mismatched)エピタキシャル拡張(extension)領域ならびにソースおよびドレイン領域を有するひずみチャネル(strained channel)相補型金属酸化物半導体(CMOS)トランジスタ構造体およびその製造方法に関する。
トランジスタの伝導チャネルにひずみを生じさせる十分な大きさの応力を伝導チャネルに加えると、トランジスタのキャリア移動度を大幅に増大させることができることは、理論的研究と実験的研究の両方で証明されている。応力は単位面積あたりの力として定義される。ひずみは、あるアイテムのある特定の寸法の方向に力が加えられたときの、その特定の寸法の最初の寸法に対するその寸法の変化、例えばあるアイテムの長さ方向に力が加えられたときの、最初の長さに対する長さの変化、として定義される無次元量である。ひずみは引張ひずみまたは圧縮ひずみであることができる。p型電界効果トランジスタでは、圧縮縦応力、すなわち伝導チャネルの長さ方向の圧縮応力を加えると、PFETの駆動電流(drive current)を増大させることが知られている伝導チャネルのひずみが生じる。しかし、その同じ応力がNFETの伝導チャネルに加えられた場合にはNFETの駆動電流は低下する。
NFETの伝導チャネルに引張縦応力を加え、PFETの伝導チャネルに圧縮縦応力を加えることによって、NFETおよびPFETの性能を向上させることが提案されている。このような提案は、チップのPFET部分をマスクし、PFETの伝導チャネルの近くの浅いトレンチ分離領域に使用する材料を変更して、PFETの伝導チャネルに所望の応力を加えるマスクド・プロセスに焦点を合わせている。次いで、チップのNFET部分をマスクし、NFETの伝導チャネルの近くの浅いトレンチ分離領域に使用する材料を変更して、NFETの伝導チャネルに所望の応力を加える別個のステップが実行される。他の提案は、スペーサ・フィーチャ内に存在する固有の応力を調節することに集中したマスクド・プロセスを含む。
シリコン・ゲルマニウムは、ひずみシリコン・トランジスタ・チャネルの形成に使用すると望ましい格子不整合半導体である。ひずみは、第1の半導体と第2の半導体とが互いに格子不整合であるときに第1の半導体の単結晶の上に第2の半導体を成長させたときに生み出される。シリコンとシリコン・ゲルマニウムは互いに格子不整合であり、そのため一方を他方の上に成長させると、それぞれの内部に引張または圧縮ひずみが生じる。
シリコン上には、シリコンの結晶構造と整合した結晶構造を有するシリコン・ゲルマニウムがエピタキシャル成長する。しかし、シリコン・ゲルマニウムは通常、シリコンよりも大きな結晶構造を有するため、エピタキシャル成長させたシリコン・ゲルマニウムは内部で圧縮される。
ひずみシリコンを使用する他の提案では、基板が、非常に厚いシリコン・ゲルマニウム層を含む。あるいは、バルク基板が単結晶シリコン・ゲルマニウムからなる。シリコン・ゲルマニウム層内に形成する転位によってひずみが解放されるため、このシリコン・ゲルマニウム層または基板はいずれも、応力緩和層(relaxed layer)として知られている。単結晶SiGeの応力緩和層の上に単結晶シリコン層をエピタキシャル成長させると、エピタキシャル成長させたシリコン結晶層内に引張ひずみが生み出される。その結果、電子移動度が向上し、これによってNFETの性能が向上する。
しかし、このような技法は、SiGeが応力緩和されていることを要求し、このことはSiGe層が非常に厚いこと、すなわち厚さが少なくとも0.5から1.0μmであることを要求する。正孔移動度の向上を得ることは難しい。なぜなら、そうするためには、SiGe層のゲルマニウムの割合を大きくする必要があるからである。ゲルマニウムの割合を大きくすると、SiGe結晶内に過度の転位が生じる恐れがあり、これによって歩留りの問題が生じる。さらに、処理コストがひどく高いものになる可能性もある。
傾斜Ge濃度法(graded Ge concentration method)、化学機械研磨法などの他の技法も、これらの膜の品質を向上させるために使用される。しかし、それらの技法では、高コストおよび高欠陥密度が問題となる。
したがって、厚いSiGe結晶領域を使用せずにPFETのチャネル領域内にひずみを生じさせることが望ましい。PFETのソースおよびドレイン領域にエピタキシャル成長させたSiGe膜を使用してデバイスのチャネル領域内に所望のひずみを生み出すことが望ましい。
さらに、SiGe膜が望ましい大きな応力を加えることができるようにし、SiGe膜が応力緩和膜になることを防ぐため、SiGe膜を十分に薄く形成することが望ましい。
さらに、PFETのソースおよびドレイン領域内にSiGeエピタキシャル層を成長させることによって、PFETのチャネル領域の正孔移動度を増大させる圧縮ひずみを生み出すことが望ましい。
さらに、ゲート誘電体のレベルよりも高く延び、PFETのチャネル領域内に望ましいひずみを生み出すために格子不整合半導体を含む、一段高いソースおよびドレイン領域を形成するプロセスを提供することが望ましい。
さらに、PFETのチャネル領域には所望のひずみを生み出すが、NFETのチャネル領域には同じひずみを生じさせないプロセスを提供することが望ましい。
さらに、PFETのチャネル領域の近くのPFETのソースおよびドレイン領域内に格子不整合半導体層を形成し、同時に、この格子不整合半導体層が同じ集積回路のNFETのチャネル領域の近くに形成されないようにする構造体およびその製造方法を提供することが望ましい。
さらに、PFETのチャネル領域の近くのPFETの拡張領域内に格子不整合半導体層を形成し、同時に、この格子不整合半導体層が同じ集積回路のNFETのチャネル領域の近くの領域内には形成されないようにする構造体およびその製造方法を提供することが望ましい。
本発明の一態様によれば、p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む相補型金属酸化物半導体(CMOS)トランジスタを有する集積回路が提供される。NFETおよびPFETはそれぞれ、第1の半導体の単結晶層内に配置されたチャネル領域を有し、PFETのチャネル領域には第1の大きさの応力が加えられているが、NFETのチャネル領域には加えられていない。この応力は、第1の半導体とは格子不整合の第2の半導体の層によって加えられる。この第2の半導体の層は、PFETのソースおよびドレイン領域の中の、PFETのチャネル領域から第1の距離のところに形成されている。この第2の半導体の層は、NFETのソースおよびドレイン領域の中の、NFETのチャネル領域から第2の距離のところにも形成されており、第2の距離は第1の距離よりも大きい。
本発明の他の態様によれば、p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む集積回路を製造する方法が提供される。NFETおよびPFETはそれぞれ、第1の半導体の単結晶領域内に配置されたチャネル領域を有し、PFETのチャネル領域には第1の大きさの応力が加えられるが、NFETのチャネル領域にはこの第1の大きさの応力が加えられない。
この方法の好ましい一態様によれば、第1の半導体の単結晶領域の上に、この第1の半導体の単結晶領域の主表面上に形成されたゲート誘電体の上に重なるゲート導体と、ゲート導体の側壁に形成された第1の材料を含む第1のスペーサとをそれぞれが有するPFETゲート・スタックおよびNFETゲート・スタックが形成される。PFETゲート・スタックおよびNFETゲート・スタックの第1のスペーサの側壁に、第2の材料を含む第2のスペーサが形成される。次いで、NFETゲート・スタックの第2のスペーサから第2の材料が除去されることを防ぎつつ、PFETゲート・スタックの第2のスペーサから第2の材料の部分が、第1の材料に対して選択的に除去される。その後、第1の半導体の単結晶領域の露出した領域上に、第1の半導体とは格子不整合の第2の半導体の層を成長させて、PFETのチャネル領域には第1の大きさの応力が加えられるが、NFETのチャネル領域にはこの第1の大きさの応力が加えられないようにする。PFETおよびNFETを完成させるために、ソースおよびドレイン領域が製作される。
図1に、本発明の一実施形態に基づくp型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を示す。図1に示すとおり、NFET10およびPFET20は基板16の単結晶半導体領域14に製造されている。基板16はバルク基板とすることも、好ましくは、シリコン・オン・インシュレータ(SOI)基板など、絶縁層18の上に比較的に薄い半導体単結晶領域が形成されたセミコンダクタ・オン・インシュレータ基板とすることもできる。このようなSOI基板に電界効果トランジスタ(FET)を形成すると、トランジスタのチャネル領域とバルク基板の間の接合容量が排除されるため、SOI基板を使用しない場合よりも高速なスイッチング動作がしばしば達成される。基板は単結晶シリコン領域14を含むことが好ましく、絶縁層18の上に単結晶シリコン領域14を有するSOI基板であることがより好ましい。
この実施形態および後続の実施形態において説明するとおり、実質的にシリコンなどの第1の半導体からなることが好ましい基板の単結晶領域内に配置されたチャネル領域を有するNFETおよびPFETトランジスタの製造を参照する。第1の半導体はシリコンであることが好ましいので、格子不整合の第2の半導体は、シリコン・ゲルマニウム、炭化シリコンなどの異なる半導体であることが好ましく、シリコン・ゲルマニウム(SiGe)であることがより好ましい。ここでxおよびyは百分率であり、x+yは100パーセントである。xとyの間の変動の範囲は相当に大きくすることができ、例示的にyは1%から99%まで変化し、その場合、xは結果的に99%と1%の間で変化する。
しかし、本発明は、純粋なシリコン結晶内にトランジスタを製造することに限定されない。基板14の単結晶領域は実質的に、第1の式Six1Gey1に基づく割合のシリコン・ゲルマニウムからなることができ、x1およびy1は百分率であり、x1+y1=100%であり、第2の半導体層は実質的に、第2の式Six2Gey2に基づく異なる割合のシリコン・ゲルマニウムからなり、x2およびy2は百分率であり、x2+y2=100%であり、x1とx2は等しくなく、y1とy2は等しくない。第1の半導体とは格子不整合の第2の半導体は、PFETのチャネル領域の近くのPFETのソースおよびドレイン領域内にエピタキシャル成長によって形成され、同時に、この格子不整合の第2の半導体は、NFETのチャネル領域の近くには形成されない。
図2は、本発明の実施形態の構造および方法が拠って立つ原理を理解する一助を提供する。図2は、関心の単結晶シリコン領域から横方向に変位した薄いシリコン・ゲルマニウム・エピタキシャル層によってこの関心の領域内に誘発される圧縮応力の大きさを示すグラフである。図2の曲線は、エピタキシャル層内のゲルマニウムのさまざまな濃度百分率ごとに、関心領域の縁からの横方向変位に対してマップされた圧縮応力の大きさを表している。
図2に示すとおり、Ge百分率37.5%を有するSiGe層は、横方向変位10nmにおいて単結晶シリコンの領域に350MPaの応力をかける。しかし、SiGe層からの横方向変位が増大するにつれて、応力の大きさは急速に低下する。同じGe百分率37.5%で見ると、応力は横方向変位30nmで150MPaまで低下する。これよりも小さな百分率を有するSiGe層もグラフに示されている。Ge百分率6.25%を有するSiGe層は、横方向変位10nmにおいて単結晶シリコンの領域に75MPaの応力をかける。しかし、横方向変位30nmで加えられる応力は約30MPaまで低下する。グラフの残りの曲線は、チャネル内に誘発される応力がGe含量の増大につれて増大することを示している。
本明細書に記載された実施形態は、横方向変位の増大に伴う応力の急速な低下を利用して、ひずみ誘発性格子不整合ソースおよびドレイン領域をチャネル領域の近くに有するPFETを形成する。一方、ひずみ誘導性格子不整合ソースおよびドレイン領域をチャネル領域の近くに持たないNFETが形成される。
本発明の教示は、組成AlInGaAsを有するIII−V族化合物半導体など他のタイプの半導体のトランジスタの製造に適用されることを理解されたい。ここでA、B、C、D、EおよびFは、半導体結晶中のそれぞれの元素Al、In、Ga、As、PおよびNの百分率をそれぞれ表し、これらの百分率は合計すると100になる。ガリウム・ヒ素(GaAs)、インジウム・リン(InP)、ガリウム窒素(GaN)およびInGaAsPはこのような半導体の一般的な例である。
図1に示すとおり、PFET20は、ゲート誘電体27と接触した濃くドープされたポリシリコン26の下層を含むことが好ましいゲート導体の下に配置されたチャネル領域22を含む。ゲート誘電体27は、単結晶半導体領域14上に熱成長させた二酸化シリコン層であることが好ましい。ソースおよびドレイン領域24に隣接して、チャネル領域22の近くに、ハロー(halo)領域23および拡張(extension)領域25が配置されていることが好ましい。
ゲート導体のポリシリコン下層26は、約1019cm−3の濃度に濃くドープされていることが好ましい。動作中にPFETがターンオンされたときに存在するp型伝導チャネルの仕事関数を整合させるため、PFET20のポリシリコン層26はホウ素などのp型ドーパントを含むことが好ましい。ゲート導体はさらに、ポリシリコン部分26の上に配置された低抵抗部分28を含むことが好ましい。低抵抗部分28は、ポリシリコン部分26よりもはるかに小さい抵抗を有し、好ましくは金属または金属のシリサイド(silicide)、あるいはその両方を含む。好ましい一実施形態では、低抵抗部分28が、自己整合プロセスによって形成されたシリサイド(「サリサイド(salicide)」)を含み、このシリサイドは、タングステン、チタンおよびコバルトを含む適当な金属のシリサイドである。ただし適当な金属はこれらに限定されるわけではない。このシリサイドがコバルトの化合物(CoSi)であるとより好ましい。
ゲート導体はあるいは、ゲート誘電体27と接触したポリシリコン層の代わりに金属層を含むことができ、この金属層は、トランジスタのソースおよびドレイン領域の高温処理が完了した後に置換ゲート(replacement gate)として形成されたものであることが好ましい。
単結晶シリコン領域16には、第1のスペーサ30の対、第2のスペーサ32の対および第3のスペーサ34の対によってそれぞれNFET10およびPFET20のチャネル領域122および22から離隔されたNFET10およびPFET20のソースおよびドレイン領域24が形成されている。NFET10のソースおよびドレイン領域24の上には、シリコン・ゲルマニウム・エピタキシャル層39と低抵抗層40とを含む一段高い一対のソース−ドレイン領域36が配置されている。PFET20のソースおよびドレイン領域24の上には、シリコン・ゲルマニウム層38と低抵抗層40とを含む一段高い一対のソース−ドレイン領域36が配置されている。この低抵抗層は、シリコン・ゲルマニウム層38、39上に付着され、後にこのシリコン・ゲルマニウムと反応してシリサイドを形成した金属から自己整合的に形成されたシリサイド、すなわち「サリサイド」であることが好ましい。このシリサイドは、タングステン、チタンおよびコバルトを含む適当な金属の化合物とすることができる。ただし適当な金属これらに限定されるわけではない。このシリサイドがコバルトのシリサイド、すなわちCoSiであるとより好ましい。
図1に示すように、シリコン・ゲルマニウム層38は、PFET20の第2および第3のスペーサ32および34の下を、第1のスペーサ30の側壁まで横方向に延びている。このようにすると、シリコン・ゲルマニウム・エピタキシャル層38が、PFETのチャネル領域22の近くに配置されて、チャネル領域22内の正孔移動度に有利に働くことができる圧縮応力を加える。エピタキシャル層38がチャネル領域22に望ましい大きさの応力を加えるようにするため、第1のスペーサ30の幅は10nm以下であることが好ましい。
PFET20とは対照的に、NFET10のエピタキシャル層39は、少なくとも第1および第2のスペーサ30、32の幅をまたぐ距離だけ、チャネル領域122から横方向に変位している。このようにすると、シリコン・ゲルマニウム・エピタキシャル層39が、NFET性能に有害な影響を及ぼすほどNFETのチャネル領域122の近くには配置されない。
図3から図12に、本発明の一実施形態に基づくCMOS製造プロセスの諸段階を示す。この実施形態に基づく処理の結果、p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)が形成される。PFETでは、格子不整合半導体層によって、第1の大きさの応力がチャネル領域に加えられる。一方、NFETのチャネル領域の近くには格子不整合半導体層が位置しないため、NFETのチャネル領域にはこの第1の大きさの応力が加えられない。このようにすると、PFETのキャリア移動度の増大が達成され、同時に、NFETの望ましい性能が維持される。
図3に、本発明の一実施形態に基づくPFETおよびNFETを形成する処理の一段階を示す。図3に示すように、基板の単結晶半導体領域14の上に、PFETゲート・スタック44およびNFETゲート・スタック45が形成される。単結晶領域14は実質的に、前述の第1の半導体材料からなる。PFETゲート・スタック44は、単結晶領域14の上に重なるゲート誘電体27と、このゲート誘電体と接触した、ポリシリコンを含むことが好ましいゲート導体層26と、実質的に窒化シリコンからなることが好ましい絶縁キャップ50とを含む。NFETゲート・スタック45は、単結晶領域14の上に重なるゲート誘電体27と、ゲート誘電体27と接触した、ポリシリコンを含むことが好ましいゲート導体層26と、実質的に窒化シリコンからなることが好ましい絶縁キャップ50とを含む。
一実施形態では、この段階ですでに、PFETゲート・スタックおよびNFETゲート・スタックのゲート導体26が、望ましい仕事関数を与える所望のドーパント型および濃度を有する。例えば、PFETゲート・スタック44がp+ドープされたゲート導体層26を有し、NFETゲート・スタック45がn+ドープされたゲート導体層26を有する。
次に、図4に示すように、NFETゲート・スタック45の下のチャネル領域122内へ注入が深く侵入し過ぎないようにするためのマスクとしてNFETゲート・スタック45を使用して、NFETゲート・スタック45に隣接した単結晶領域14の活性領域への拡張注入(extension implant)およびハロー注入(halo implant)が実行されることが好ましい。このような注入の間、PFETゲート・スタック44に隣接した活性領域は、フォトレジスト材料を含むことが好ましいブロック・マスク42などによって注入が防がれる。
次に、図5に示すように、ブロック・マスク42が除去され、PFETゲート・スタック44およびNFETゲート・スタック45の側壁に、第1のスペーサ30の対が形成される。スペーサ30は、窒化シリコンなどの付着された窒化物から形成され、薄いことが好ましく、例えば厚さが3nmから20nm、より好ましくは5nmから15nm、最も好ましくは約10nmであることが好ましい。
次に、図6に示すように、PFETゲート・スタック44の下のチャネル領域22の中へ注入が深く侵入し過ぎないようにするためのマスクとしてPFETゲート・スタック44を使用して、PFETゲート・スタック44に隣接した単結晶領域14の活性領域への拡張注入およびハロー注入が実行されることが好ましい。このような注入の間、NFETゲート・スタック45に隣接した活性領域は、フォトレジスト材料を含むことが好ましいブロック・マスク43などによって注入が防がれる。
その後、図7に示すように、ブロック・マスク43が除去され、PFETゲート・スタック44およびNFETゲート・スタック45の上に厚い共形の材料層46が付着される。共形材料層46は、事実上、導電層やまたは半導電層ではなく絶縁層でなければならない。共形材料層46は酸化物、好ましくは二酸化シリコンを含むことが好ましく、テトラエチルオルトシリカート(TEOS)などの前駆物質から低温で付着されることが好ましい。以下、この層46の材料を「酸化物」と呼ぶ。
次に、図8に示すように、好ましくは窒化物材料を含み、より好ましくは窒化シリコンである追加のスペーサすなわち第3のスペーサ48の対が、PFETゲート・スタック44およびNFETゲート・スタック45の両方の酸化層46の上に形成される。このプロセスは、窒化シリコンの共形層を付着させ、次いでこの構造を反応性イオンエッチング(RIE)などによって垂直にエッチングして、酸化層46の側壁にスペーサ48が残り、水平面から共形の窒化層が除去されるようにすることによって実行されることが好ましい。
次に、図9に示すように、窒化物スペーサ48が所定の位置に配置された後に、窒化物に対して選択的なRIEなどによってこの構造の上面の酸化層46が、PFETゲート・スタック44およびNFETゲート・スタック45の両方の絶縁キャップ50のレベルまでエッチングされる。このようなエッチングの間に、それぞれのPFETゲート・スタック44およびNFETゲート・スタック45の窒化物スペーサ48を越えて延びる単結晶領域14の領域からも酸化層46が除去される。このようなエッチングの間、窒化物スペーサ48は構造の側壁をエッチングされることから保護し、絶縁キャップ50は、PFETゲート・スタックおよびNFETゲート・スタックのゲート導体26を、損傷またはエッチング、あるいはその両方から保護する。
その後、図10に示すように、NFETゲート・スタック45を含む領域の上にブロック・マスク52が再びかけられ、PFETゲート・スタック44は露出したまま残される。ブロック・マスク52はフォトレジスト材料を含むことが好ましい。ブロック・マスク52が所定の位置に配置された後、窒化物に対して選択的な等方性の湿式化学エッチングなどによって、PFETゲート・スタック44に付着した酸化層46がアンダーカットされる。これにより、図10に示された外観を有する酸化層46が得られる。このエッチングの結果、単結晶半導体領域14の主表面54が露出される。
その後、図11に示すように、第1の半導体とは格子不整合の第2の半導体の単結晶層が、単結晶半導体領域14の主表面にエピタキシャル成長される。図1に関して先に説明したとおり、単結晶半導体領域14がゲルマニウムを含むか否かに関わらず、第2の半導体は、単結晶半導体領域14のゲルマニウム百分率よりも高いゲルマニウム百分率を有するシリコン・ゲルマニウムであることが好ましい。PFET領域ではこの層38が、酸化層46のアンダーカットされた部分56の下に形成され、そのため、第1の窒化物スペーサ30だけによってチャネル領域22から横方向に離隔されている層38は、PFET20のチャネル領域22の近くで圧縮応力を加える。
一方、NFETでは、圧縮応力がNFETの電子移動度の妨げとなるため、ゲート導体26の近くにはシリコン・ゲルマニウム層39が形成されず、そのため、層39がNFETのチャネル領域122に加える圧縮応力は、PFETのチャネル領域22に加えられる圧縮応力ほどには大きくない。しかし、この応力を誘発する格子不整合半導体層が、NFET10のチャネル領域122から十分な距離だけ変位されている場合には、図2に関して先に説明したとおり、その圧縮応力を許容することができる。さらに、スペーサ30および酸化層46のパラメータを調整して、NFET内の電子移動度を向上させる小さな逆応力(counter stress)を加えることもできる。このような逆応力は、シリコン・ゲルマニウム層39によってNFETチャネル領域122内に加えられた小さな圧縮応力の効果に対抗する小さな引張応力として加えられるだろう。
この実施形態の最終処理段階が図1に示されている。この処理段階の間に、ゲート導体26、第1のスペーサ30、第2のスペーサ32および第3のスペーサ34を含むPFETゲート・スタック構造44をマスクとして使用して、単結晶領域14の中にPFET20のソースおよびドレイン領域24が注入される。その間、NFET10の領域はブロック・マスク(図示せず)によってこの注入から保護される。好ましくは別個の注入ステップにおいて、ゲート導体26、第1のスペーサ30、第2のスペーサ32および第3のスペーサ34を含むNFETゲート・スタック45をマスクとして使用して、単結晶領域14の中にNFET10のソースおよびドレイン領域24が注入される。その間、PFET20は、ブロック・マスク(図示せず)によってこの注入から保護される。その後、注入されたソースおよびドレイン領域24をアニールし、注入されたドーパントを所望の深さおよび横方向の寸法まで駆動するための高温処理を実行することができる。
この時に、PFETゲート・スタック44およびNFETゲート・スタック45から窒化物絶縁キャップ50が除去される。次いで、図示の構造の上にシリサイド形成金属を付着させ、次いでこれを、これと接触したポリシリコン・ゲート導体26の半導体材料およびやはりこれと接触したシリコン・ゲルマニウムの層38および39と高温処理によって反応させて、自己整合シリサイド(「サリサイド」)40を形成することが好ましい。あるいは、ソースおよびドレイン領域24の高温アニールに続いて、スペーサ30、32間の窒化物絶縁キャップ50およびポリシリコン・ゲート導体26を、窒化物および酸化物に対して選択的なRIEなどによって除去し、その位置に金属置換ゲートを形成することもできる。このような代替プロセスでは、以前に形成されたゲート誘電体が、ポリシリコンRIEのエッチング・ストップ層、すなわち犠牲層として機能することが好ましい。ポリシリコン・ゲート26のRIE除去後に、最初に形成されたゲート誘電体は、RIE中のその層の損傷のため除去される。その後、除去された第1のゲート誘電体によって以前占められていた位置に、第2のゲート誘電体27が付着される。次いで、それによってスペーサ30、32間に形成された開口の中に金属ゲート導体が、単結晶シリコン・ゲルマニウム層38、39の共形層として付着される。このような方法では、PFET20およびNFET10の処理を実質的に完了した後に金属置換ゲートが形成される。
本発明に従って形成されたPFET220およびNFET210の他の実施形態を図12に示す。この実施形態では、シリサイド化された一段高いソースおよびドレイン領域224をNFET210のチャネル領域から望ましい距離だけ変位させ、シリサイド化された一段高いソースおよびドレイン領域224をPFET220のチャネル領域から望ましい距離だけ変位させるために、NFET210では4対のスペーサが利用される。図12に示すとおり、PFETの格子不整合半導体層238は、単結晶半導体領域214と接触した、PFETのチャネル領域322に近い一段高い層として形成されている。NFET210では、格子不整合半導体層239が一段高い層として形成されているが、この層は、層239とチャネル領域222の間の追加のスペーサ231の存在によって、NFET210のチャネル領域222の近くには形成されていない。この実施形態では、層239、238によって、NFETとPFETのチャネル領域222、322に異なる大きさの圧縮応力が加えられる。
この実施形態では、第2のスペーサ231の幅240に基づいて、NFET210のチャネル領域内に生み出されるひずみの量を調整することができる。先に論じたとおり、NFET210のチャネル領域222からの層239の横方向変位が大きいほど、NFETのチャネル領域222内に生み出されるひずみは小さくなる。小さなひずみは、大きなひずみに比べて、NFET210の電子移動度に及ぼす否定的な影響が小さい。この実施形態では、実質的に窒化シリコンなどの材料からなる適当なサイズのスペーサを使用することによって、このような小さいひずみを達成することができる。
スペーサ231は、付着された共形の窒化シリコン材料の厚さによって決まる幅240を有する。NFET210のチャネル領域222においてよりいっそう小さなひずみが必要な場合には、この窒化シリコン層をより厚く付着させることによって、窒化シリコン・スペーサ231の厚さをより厚くすることができる。
次に、この実施形態の製造の諸段階を図13から図18に関して説明する。図13に示すように、PFETゲート・スタック244およびNFETゲート・スタック245はそれぞれ、単結晶シリコン領域などの基板の単結晶半導体領域214上の熱成長させた酸化物であることが好ましいゲート誘電体227の上に重なるポリシリコン・ゲート226含む。ポリシリコン・ゲート226の上には絶縁キャップ250がある。ゲート・スタック構造244、245をパターン形成し、エッチングした後のポリシリコン・ゲート226の側壁には第1のスペーサ230の対が形成される。これらの第1のスペーサ230は薄いことが好ましく、厚さが3nmから20nm、より好ましくは5nmから15nm、最も好ましくは約10nmであることが好ましい。
スペーサ230を形成した後、これらのスペーサに隣接したPFET220およびNFET210のソースおよびドレイン領域(図示せず)へのハロー・イオン注入および拡張イオン注入が、好ましくはNFET領域にブロック・マスクをかけ、その間にPFET領域に注入し、次いでPFET領域にブロック・マスクをかけ、その間にNFET領域に注入することによって実行される。その後、図14および15に示すように、第1のスペーサ230の対の側壁に第2のスペーサ231の対が形成される。これは、窒化シリコンなどの共形材料を付着させ、その後にRIEなどによってこの構造を垂直にエッチングして、図15に示した構造を得ることによって実行される。
その後、図16に示すように、NFETゲート・スタック245およびその隣接領域の上にブロック・マスク243がかけられる。次いで、PFETゲート・スタック244から第2のスペーサ231が除去される。次に、図17に示すように、基板の単結晶領域214の上にシリコン・ゲルマニウム238の層を選択的に成長させる。NFETゲート・スタック245の側壁に第2のスペーサ231が存在するため、シリコン・ゲルマニウムの層238は、PFETのチャネル領域322までの距離よりもより大きな距離(例えばスペーサ231の幅240)だけ、NFETのチャネル領域222から横方向に変位している。このようにすると、NFET内の電子移動度にあまり大きな影響を及ぼすことなく、PFET内の正孔移動度の増大が達成される。
次に、図18に示すように、追加のスペーサ232および234が形成される。これらのスペーサ232および234は、最終的な一段高いシリサイド化されたソースおよびドレイン領域224(図12)をNFETおよびPFETのチャネル領域222および322から離隔するために使用される。これらのスペーサ232、234はそれぞれ窒化物および酸化物を含むことが好ましい。スペーサ232は実質的に窒化物からなり、スペーサ234は実質的に酸化物からなることが好ましい。このプロセスの間に、追加のRIEエッチングが実行され、図18に示した構造を得る。最後に、ゲート・スタック244および245によって覆われていない層238の領域に、図12に示すような自己整合シリサイド層224が形成される。
本発明の他の実施形態を図19〜図21に示す。図12〜図18に関して示した実施形態とは対照的にこの実施形態では、図19に示すように、シリコン・ゲルマニウム層338がNFET構造310の部分を構成しない。層338はPFET構造320だけに配置される。こうすると、PFET320のチャネル領域422には圧縮応力が加えられるが、NFET310のチャネル領域423には加えられない。
PFET320およびNFET310を製造するプロセスを図20および図21に示す。図20に示すように、PFETゲート・スタック344およびNFETゲート・スタック345が形成され、これらはそれぞれ、熱成長させた酸化物からなることが好ましいゲート誘電体327の上に重なるポリシリコン・ゲート326と、窒化シリコンを含むことが好ましい絶縁キャップ350と、窒化シリコンを含むことが好ましい第1のスペーサ330の対とを含む。この時に、ハロー注入および拡張注入を実行することができる。
その後に、共形材料層360が付着され、次いで、NFETゲート・スタック345に隣接した単結晶半導体領域314の活性領域だけを覆うようにパターン形成される。このような共形材料層は例示的に、酸化物または窒化物、あるいはこれらの組合せとすることができる。共形材料層360は窒化シリコンなどの窒化物を含むことが好ましい。その後、PFETゲート・スタック344に隣接した単結晶領域314の露出した活性領域上に、シリコン・ゲルマニウムなどの格子不整合半導体338をエピタキシャル成長させる。
その後、図21に示すように、共形層360の上に追加の複数の絶縁層が付着され、次いでこれらの層がRIEなどによって垂直にエッチングされて、スペーサ331および追加のスペーサ332、334が、例えば図18に関して先に説明した方法で形成される。その後に、図19に示すように、PFET320とNFET310の両方に自己整合シリサイド領域324および424が、例えば図12に関して先に説明した方法で形成されることが好ましい。
本発明を、その好ましい実施形態に関して説明してきたが、添付の請求項によってのみ限定される本発明の真の範囲および趣旨から逸脱することなく実施することができる多くの変更および改良を当業者は理解しよう。
本発明の一実施形態に基づくPFETおよびNFETを示す図である。 薄いシリコン・ゲルマニウム・エピタキシャル層によって関与の単結晶シリコン領域に誘発される圧縮応力の大きさを示すグラフである。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明のさらに他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明のさらに他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。 本発明のさらに他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。

Claims (18)

  1. p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む相補型金属酸化物半導体(CMOS)トランジスタを有する集積回路構造体であって、前記NFETおよび前記PFETがそれぞれ、第1の半導体の単結晶層内に配置されたチャネル領域を有し、前記第1の半導体とは格子不整合の第2の半導体の層によって、前記PFETのチャネル領域には第1の大きさの応力が加えられているが、前記NFETのチャネル領域には加えられておらず、前記第2の半導体の前記層が、前記PFETのソースおよびドレイン領域で、前記PFETの前記チャネル領域から第1の距離のところに形成されており、前記第2の半導体の前記層が、前記NFETのソースおよびドレイン領域で、前記NFETの前記チャネル領域から第2の距離のところにも形成されており、前記第2の距離が前記第1の距離よりも大きい集積回路構造体。
  2. 前記第1の半導体および前記第2の半導体が、式SiGeに基づく組成を有するシリコン含有半導体材料であり、xおよびyが百分率であり、前記第1の半導体の組成がx=100、y=0からx=1、y=99までの範囲にあり、前記第2の半導体の組成がx=99、y=1からx=1、y=99までの範囲にあり、前記第2の半導体のxが常に前記第1の半導体のxよりも小さい、請求項1に記載の集積回路構造体。
  3. 前記第1の半導体の前記単結晶領域が、前記NFETおよび前記PFETの前記チャネル領域上に形成されたゲート誘電体のレベルによって画定された主表面を有し、前記第2の半導体の前記層が前記主表面の上に形成された、請求項1に記載の集積回路構造体。
  4. 前記第1の半導体が、シリコン、シリコン・ゲルマニウムおよび炭化シリコンからなるグループから選択された半導体からなり、前記第2の半導体が、シリコン、シリコン・ゲルマニウムおよび炭化シリコンからなるグループから選択された、前記第1の半導体とは異なる半導体からなる、請求項1に記載の集積回路構造体。
  5. 前記第1の半導体がシリコンからなり、前記第2の半導体がシリコン・ゲルマニウムからなる、請求項1に記載の集積回路構造体。
  6. 前記第1の半導体が、第1の式Six1Gey1に基づくシリコン・ゲルマニウムからなり、x1およびy1が百分率であり、x1+y1=100%であり、y1が少なくとも1パーセントであり、前記第2の半導体が、第2の式Six2Gey2に基づくシリコン・ゲルマニウムからなり、x2およびy2が百分率であり、x2+y2=100%であり、y2が少なくとも1パーセントであり、x1とx2が等しくなく、y1とy2が等しくない、請求項1に記載の集積回路構造体。
  7. 前記応力が圧縮応力である、請求項1に記載の集積回路構造体。
  8. それぞれが基板の単結晶シリコン領域内に配置されたチャネル領域を有するp型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む相補型金属酸化物半導体(CMOS)トランジスタを有する集積回路構造体であって、前記PFETのソースおよびドレイン領域で、前記PFETの前記チャネル領域から第1の距離のところに配置され、前記NFETのソースおよびドレイン領域で、前記NFETの前記チャネル領域から前記第1の距離よりも大きい第2の距離のところに配置されたシリコン・ゲルマニウムからなる一段高い格子不整合半導体層によって、前記PFETの前記チャネル領域には第1の応力が加えられているが、前記NFETの前記チャネル領域には加えられておらず、前記シリコン・ゲルマニウムが、式SiGeに基づく組成を有し、xおよびyが、それぞれ少なくとも1パーセントである百分率であり、x+yが100パーセントである集積回路構造体。
  9. p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む集積回路構造体を製造する方法であって、
    第1の組成を有する第1の単結晶半導体領域の上に、前記第1の単結晶半導体領域の主表面上に形成されたゲート誘電体の上に重なるゲート導体と、前記ゲート導体の側壁に形成された第1の材料を含む第1のスペーサとをそれぞれが有するPFETゲート・スタックおよびNFETゲート・スタックを形成すること、
    前記PFETゲート・スタックおよび前記NFETゲート・スタックの前記第1のスペーサの側壁に、第2の材料を含む第2のスペーサを形成すること、
    前記NFETゲート・スタックの前記第2のスペーサから前記第2の材料が除去されることを防ぎつつ、前記PFETゲート・スタックの前記第2のスペーサから前記第2の材料の部分を、前記第1の材料に対して選択的に除去すること、
    その後、前記第1の単結晶半導体領域の露出した区域上に、前記第1の単結晶半導体領域とは格子不整合であり、第2の組成を有するエピタキシャルの単結晶半導体の層を成長させること、
    前記エピタキシャルの単結晶半導体の層の少なくとも一部分を含む前記PFETのソースおよびドレイン領域を形成すること、
    前記エピタキシャルの単結晶半導体の層の少なくとも一部分を含む前記NFETのソースおよびドレイン領域を形成すること、
    を含む方法。
  10. 前記PFETのソースおよびドレイン領域が、前記主表面のレベルよりも上方の前記エピタキシャルの単結晶半導体の前記層に形成されることを含む、請求項に記載の方法。
  11. 前記NFETのソースおよびドレイン領域が、前記主表面のレベルよりも上方の前記エピタキシャルの単結晶半導体の前記層に形成されることを含む、請求項に記載の方法。
  12. 前記PFETのソースおよびドレイン領域、前記NFETのソースおよびドレイン領域、前記PFETゲート・スタックの前記ゲート導体、および前記NFETゲート・スタックの前記ゲート導体から選択した少なくとも一つに自己整合されたシリサイド(サリサイド)を形成することをさらに含む、請求項に記載の方法。
  13. 前記第1の組成がシリコンであり、前記第2の組成がシリコン・ゲルマニウムであり、前記シリコン・ゲルマニウムが、少なくとも1パーセントのゲルマニウム含量を有する、請求項に記載の方法。
  14. 前記第1のスペーサを形成する前に、前記PFETゲート・スタックおよび前記NFETゲート・スタックの前記ゲート導体によってマスクされた前記第1の単結晶半導体領域の区域にイオン注入して、前記PFETおよびNFETのチャネル領域に自己整合された注入領域を形成することをさらに含む、請求項に記載の方法。
  15. 前記第2のスペーサから前記第2の材料の部分を除去する前に、前記第2のスペーサの側壁に第3のスペーサを形成することをさらに含み、前記第3のスペーサが、前記PFETの前記ソースおよびドレイン領域と前記PFETのチャネル領域との間の間隔を画定する、請求項に記載の方法。
  16. 前記PFETゲート・スタックから前記第2のスペーサの前記第2の材料の部分を除去するときに、マスクによって、前記NFETゲート・スタック上に形成された前記第1および第2のスペーサを覆い保護することをさらに含む、請求項に記載の方法。
  17. 第1の半導体からなる単結晶領域に配置されたチャネル領域を有するp型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む集積回路構造体を製造する方法であって、
    前記第1の半導体とは格子不整合である第2の半導体からなる第1の部分で、前記PFETの前記チャネル領域に第1の大きさの応力が加えられるように前記PFETの前記チャネル領域から第1の距離に配置された前記第1の部分を有する、前記PFETのソースおよびドレイン領域を形成すること、
    前記第2の半導体からなる第2の部分で、前記NFETの前記チャネル領域に前記第1の大きさよりも小さい第2の大きさの応力が加えられるように、前記NFETの前記チャネル領域から前記第1の距離よりも大きい第2の距離に配置された前記第2の部分を有する、前記NFETのソースおよびドレイン領域を形成すること、
    を含む方法。
  18. 前記単結晶領域が、前記PFETおよび前記NFETの前記チャネル領域上に形成されたゲート誘電体のレベルによって画定された主表面を有し、前記第1および第2の部分を前記主表面の上に形成することを含む、請求項17に記載の方法。
JP2006526140A 2003-09-10 2004-08-30 格子不整合エピタキシャル拡張領域ならびにソースおよびドレイン領域を有するひずみチャネルcmosトランジスタ構造体およびその製造方法 Active JP4808622B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/605,134 2003-09-10
US10/605,134 US6906360B2 (en) 2003-09-10 2003-09-10 Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
PCT/US2004/028163 WO2005027192A2 (en) 2003-09-10 2004-08-30 Structure and method of making strained channel cmos transistors having lattice-mismatched epitaxial extension and source and drain regions

Publications (3)

Publication Number Publication Date
JP2007509486A JP2007509486A (ja) 2007-04-12
JP2007509486A5 JP2007509486A5 (ja) 2007-10-11
JP4808622B2 true JP4808622B2 (ja) 2011-11-02

Family

ID=34225881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006526140A Active JP4808622B2 (ja) 2003-09-10 2004-08-30 格子不整合エピタキシャル拡張領域ならびにソースおよびドレイン領域を有するひずみチャネルcmosトランジスタ構造体およびその製造方法

Country Status (7)

Country Link
US (2) US6906360B2 (ja)
EP (1) EP1668672A4 (ja)
JP (1) JP4808622B2 (ja)
KR (1) KR100810012B1 (ja)
CN (1) CN1985375B (ja)
TW (1) TWI318435B (ja)
WO (1) WO2005027192A2 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100498475B1 (ko) * 2003-01-07 2005-07-01 삼성전자주식회사 모스 전계 효과 트랜지스터 구조 및 그 제조 방법
JP2004311903A (ja) * 2003-04-10 2004-11-04 Oki Electric Ind Co Ltd 半導体装置及び製造方法
US6949443B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
EP1677359A4 (en) * 2003-10-23 2008-06-25 Fujitsu Ltd SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME
DE10351008B4 (de) * 2003-10-31 2008-07-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren mit erhöhten Drain- und Sourcegebieten mit unterschiedlicher Höhe sowie ein Halbleiterbauelement
US7064027B2 (en) * 2003-11-13 2006-06-20 International Business Machines Corporation Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance
US7018891B2 (en) * 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
US7202145B2 (en) * 2004-06-03 2007-04-10 Taiwan Semiconductor Manufacturing Company Strained Si formed by anneal
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7279430B2 (en) * 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7161199B2 (en) * 2004-08-24 2007-01-09 Freescale Semiconductor, Inc. Transistor structure with stress modification and capacitive reduction feature in a width direction and method thereof
JP4116990B2 (ja) * 2004-09-28 2008-07-09 富士通株式会社 電界効果型トランジスタおよびその製造方法
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
JP4945900B2 (ja) * 2005-01-06 2012-06-06 ソニー株式会社 絶縁ゲート電界効果トランジスタおよびその製造方法
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7696537B2 (en) * 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
DE102005030583B4 (de) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
EP1744351A3 (en) * 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7566609B2 (en) * 2005-11-29 2009-07-28 International Business Machines Corporation Method of manufacturing a semiconductor structure
CN100411146C (zh) * 2005-12-06 2008-08-13 联华电子股份有限公司 制作应变硅互补式金属氧化物半导体晶体管的方法
US7718500B2 (en) 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7279758B1 (en) * 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7632729B2 (en) * 2006-09-27 2009-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device performance enhancement
US7550351B2 (en) * 2006-10-05 2009-06-23 International Business Machines Corporation Structure and method for creation of a transistor
JP5040286B2 (ja) 2006-12-13 2012-10-03 富士通セミコンダクター株式会社 半導体装置および半導体装置の製造方法
WO2008102448A1 (ja) * 2007-02-22 2008-08-28 Fujitsu Microelectronics Limited 半導体装置と半導体装置の製造方法
CN101641792B (zh) 2007-02-22 2012-03-21 富士通半导体股份有限公司 半导体器件及其制造方法
JP4994139B2 (ja) * 2007-07-18 2012-08-08 パナソニック株式会社 半導体装置及びその製造方法
US7659583B2 (en) * 2007-08-15 2010-02-09 International Business Machines Corporation Ultrathin SOI CMOS devices employing differential STI liners
US7572689B2 (en) * 2007-11-09 2009-08-11 International Business Machines Corporation Method and structure for reducing induced mechanical stresses
JP2009170523A (ja) * 2008-01-11 2009-07-30 Rohm Co Ltd 半導体装置およびその製造方法
US7678634B2 (en) * 2008-01-28 2010-03-16 International Business Machines Corporation Local stress engineering for CMOS devices
US7892932B2 (en) 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102008064671B4 (de) * 2008-11-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements mit einer Gatestruktur und Erhöhung der Integrität eines Gatestapels mit großem ε durch Schützen einer Beschichtung an der Gateunterseite während des Freilegens der Gateobseite
KR101606930B1 (ko) * 2008-12-30 2016-03-28 주식회사 동부하이텍 반도체소자 및 그 제조방법
US7935593B2 (en) * 2009-02-05 2011-05-03 Samsung Electronics Co., Ltd. Stress optimization in dual embedded epitaxially grown semiconductor processing
DE102009015715B4 (de) * 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8198194B2 (en) 2010-03-23 2012-06-12 Samsung Electronics Co., Ltd. Methods of forming p-channel field effect transistors having SiGe source/drain regions
US8546228B2 (en) 2010-06-16 2013-10-01 International Business Machines Corporation Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
JP5856827B2 (ja) * 2010-12-09 2016-02-10 株式会社半導体エネルギー研究所 半導体装置
US9001564B2 (en) 2011-06-29 2015-04-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for driving the same
US9087741B2 (en) 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
US9076817B2 (en) 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8828831B2 (en) * 2012-01-23 2014-09-09 International Business Machines Corporation Epitaxial replacement of a raised source/drain
US8912608B2 (en) * 2012-08-17 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US10438856B2 (en) * 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
KR102089682B1 (ko) 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9831341B2 (en) 2014-06-16 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
KR102532497B1 (ko) 2016-09-19 2023-05-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN109300789B (zh) * 2017-07-25 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP2021192396A (ja) * 2018-09-14 2021-12-16 キオクシア株式会社 集積回路装置及び集積回路装置の製造方法
US10756184B2 (en) * 2018-11-05 2020-08-25 Globalfoundries Inc. Faceted epitaxial source/drain regions

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10107294A (ja) * 1996-09-27 1998-04-24 Siemens Ag 集積cmos回路装置及びその製造方法
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
JPH10511506A (ja) * 1994-12-23 1998-11-04 インテル・コーポレーション 極薄先端を有する新規のトランジスタおよびその製造方法
JPH11163343A (ja) * 1997-11-28 1999-06-18 Nec Corp 半導体装置およびその製造方法
JP2000124327A (ja) * 1998-10-14 2000-04-28 Toshiba Corp 半導体装置及びその製造方法
JP2000223703A (ja) * 1999-01-29 2000-08-11 Toshiba Corp 半導体装置及びその製造方法
JP2001501033A (ja) * 1996-09-27 2001-01-23 シーメンス アクチエンゲゼルシヤフト Mosトランジスタの製造方法
JP2002043567A (ja) * 2000-07-27 2002-02-08 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
JP2003188274A (ja) * 2001-12-19 2003-07-04 Toshiba Corp 半導体装置及びその製造方法
WO2005010982A1 (en) * 2003-06-27 2005-02-03 Intel Corporation Pmos transistor strain optimization with raised junction regions

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268324A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Modified silicon CMOS process having selectively deposited Si/SiGe FETS
US5583059A (en) * 1994-06-01 1996-12-10 International Business Machines Corporation Fabrication of vertical SiGe base HBT with lateral collector contact on thin SOI
US5846857A (en) * 1997-09-05 1998-12-08 Advanced Micro Devices, Inc. CMOS processing employing removable sidewall spacers for independently optimized N- and P-channel transistor performance
US5989965A (en) * 1998-02-13 1999-11-23 Sharp Laboratories Of America, Inc. Nitride overhang structures for the silicidation of transistor electrodes with shallow junction
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP5000057B2 (ja) * 2001-07-17 2012-08-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
FR2834575B1 (fr) * 2002-01-09 2004-07-09 St Microelectronics Sa Procede de modelisation et de realisation d'un circuit integre comportant au moins un transistor a effet de champ a grille isolee, et circuit integre correspondant
US20030166323A1 (en) * 2002-03-01 2003-09-04 Infineon Technologies North America Corp. Raised extension structure for high performance cmos
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10511506A (ja) * 1994-12-23 1998-11-04 インテル・コーポレーション 極薄先端を有する新規のトランジスタおよびその製造方法
JPH10107294A (ja) * 1996-09-27 1998-04-24 Siemens Ag 集積cmos回路装置及びその製造方法
JP2001501033A (ja) * 1996-09-27 2001-01-23 シーメンス アクチエンゲゼルシヤフト Mosトランジスタの製造方法
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
JPH11163343A (ja) * 1997-11-28 1999-06-18 Nec Corp 半導体装置およびその製造方法
JP2000124327A (ja) * 1998-10-14 2000-04-28 Toshiba Corp 半導体装置及びその製造方法
JP2000223703A (ja) * 1999-01-29 2000-08-11 Toshiba Corp 半導体装置及びその製造方法
JP2002043567A (ja) * 2000-07-27 2002-02-08 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
JP2003188274A (ja) * 2001-12-19 2003-07-04 Toshiba Corp 半導体装置及びその製造方法
WO2005010982A1 (en) * 2003-06-27 2005-02-03 Intel Corporation Pmos transistor strain optimization with raised junction regions

Also Published As

Publication number Publication date
US20050051851A1 (en) 2005-03-10
US6906360B2 (en) 2005-06-14
KR100810012B1 (ko) 2008-03-07
EP1668672A4 (en) 2008-06-25
CN1985375A (zh) 2007-06-20
WO2005027192A2 (en) 2005-03-24
US7297583B2 (en) 2007-11-20
JP2007509486A (ja) 2007-04-12
US20050148133A1 (en) 2005-07-07
EP1668672A2 (en) 2006-06-14
TW200524087A (en) 2005-07-16
TWI318435B (en) 2009-12-11
CN1985375B (zh) 2011-01-19
KR20060060691A (ko) 2006-06-05
WO2005027192A3 (en) 2006-12-21

Similar Documents

Publication Publication Date Title
JP4808622B2 (ja) 格子不整合エピタキシャル拡張領域ならびにソースおよびドレイン領域を有するひずみチャネルcmosトランジスタ構造体およびその製造方法
JP4808618B2 (ja) 格子不整合のソースおよびドレイン領域を有する歪み半導体cmosトランジスタを有する集積回路および製作方法
US7749833B2 (en) Semiconductor MOS transistor device and method for making the same
US7449378B2 (en) Structure and method for improved stress and yield in pFETS with embedded SiGe source/drain regions
US7807538B2 (en) Method of forming a silicide layer while applying a compressive or tensile strain to impurity layers
US8912567B2 (en) Strained channel transistor and method of fabrication thereof
US7547641B2 (en) Super hybrid SOI CMOS devices
US7442611B2 (en) Method of applying stresses to PFET and NFET transistor channels for improved performance
US7892931B2 (en) Use of a single mask during the formation of a transistor's drain extension and recessed strained epi regions
US20090184341A1 (en) Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070824

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110809

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110817

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140826

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4808622

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250