JP4808622B2 - Strain channel CMOS transistor structure having lattice-mismatched epitaxial extension region and source and drain regions and method of manufacturing the same - Google Patents

Strain channel CMOS transistor structure having lattice-mismatched epitaxial extension region and source and drain regions and method of manufacturing the same Download PDF

Info

Publication number
JP4808622B2
JP4808622B2 JP2006526140A JP2006526140A JP4808622B2 JP 4808622 B2 JP4808622 B2 JP 4808622B2 JP 2006526140 A JP2006526140 A JP 2006526140A JP 2006526140 A JP2006526140 A JP 2006526140A JP 4808622 B2 JP4808622 B2 JP 4808622B2
Authority
JP
Japan
Prior art keywords
pfet
semiconductor
nfet
region
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006526140A
Other languages
Japanese (ja)
Other versions
JP2007509486A5 (en
JP2007509486A (en
Inventor
ドクマチ、オマー
チェン、フアジー
チダンバラオ、ドゥレセティ
ヤング、ハイニング、エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007509486A publication Critical patent/JP2007509486A/en
Publication of JP2007509486A5 publication Critical patent/JP2007509486A5/ja
Application granted granted Critical
Publication of JP4808622B2 publication Critical patent/JP4808622B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は半導体集積回路の製造に関し、詳細には、格子不整合(lattice−mismatched)エピタキシャル拡張(extension)領域ならびにソースおよびドレイン領域を有するひずみチャネル(strained channel)相補型金属酸化物半導体(CMOS)トランジスタ構造体およびその製造方法に関する。   The present invention relates to the manufacture of semiconductor integrated circuits, and more particularly to strained channel complementary metal oxide semiconductors (CMOS) having lattice-mismatched epitaxial extension regions and source and drain regions. The present invention relates to a transistor structure and a manufacturing method thereof.

トランジスタの伝導チャネルにひずみを生じさせる十分な大きさの応力を伝導チャネルに加えると、トランジスタのキャリア移動度を大幅に増大させることができることは、理論的研究と実験的研究の両方で証明されている。応力は単位面積あたりの力として定義される。ひずみは、あるアイテムのある特定の寸法の方向に力が加えられたときの、その特定の寸法の最初の寸法に対するその寸法の変化、例えばあるアイテムの長さ方向に力が加えられたときの、最初の長さに対する長さの変化、として定義される無次元量である。ひずみは引張ひずみまたは圧縮ひずみであることができる。p型電界効果トランジスタでは、圧縮縦応力、すなわち伝導チャネルの長さ方向の圧縮応力を加えると、PFETの駆動電流(drive current)を増大させることが知られている伝導チャネルのひずみが生じる。しかし、その同じ応力がNFETの伝導チャネルに加えられた場合にはNFETの駆動電流は低下する。   Both theoretical and experimental studies have shown that applying sufficient stress to the conduction channel to cause distortion in the transistor's conduction channel can significantly increase the carrier mobility of the transistor. Yes. Stress is defined as the force per unit area. Strain is the change in dimension of an item relative to the original dimension when a force is applied in the direction of a particular dimension, for example, when a force is applied in the length direction of an item. , A dimensionless quantity defined as the change in length relative to the initial length. The strain can be a tensile strain or a compressive strain. In a p-type field effect transistor, when compressive longitudinal stress, that is, compressive stress in the length direction of the conduction channel, is applied, distortion of the conduction channel, which is known to increase the drive current of the PFET, occurs. However, when the same stress is applied to the NFET conduction channel, the NFET drive current decreases.

NFETの伝導チャネルに引張縦応力を加え、PFETの伝導チャネルに圧縮縦応力を加えることによって、NFETおよびPFETの性能を向上させることが提案されている。このような提案は、チップのPFET部分をマスクし、PFETの伝導チャネルの近くの浅いトレンチ分離領域に使用する材料を変更して、PFETの伝導チャネルに所望の応力を加えるマスクド・プロセスに焦点を合わせている。次いで、チップのNFET部分をマスクし、NFETの伝導チャネルの近くの浅いトレンチ分離領域に使用する材料を変更して、NFETの伝導チャネルに所望の応力を加える別個のステップが実行される。他の提案は、スペーサ・フィーチャ内に存在する固有の応力を調節することに集中したマスクド・プロセスを含む。   It has been proposed to improve the performance of NFETs and PFETs by applying tensile longitudinal stress to the NFET conduction channel and applying compressive longitudinal stress to the PFET conduction channel. Such a proposal focuses on a masked process that masks the PFET portion of the chip and changes the material used for the shallow trench isolation region near the PFET conduction channel to apply the desired stress to the PFET conduction channel. It is matched. A separate step is then performed to mask the NFET portion of the chip and change the material used for the shallow trench isolation region near the NFET conduction channel to apply the desired stress to the NFET conduction channel. Other proposals include a masked process focused on adjusting the inherent stress present in the spacer features.

シリコン・ゲルマニウムは、ひずみシリコン・トランジスタ・チャネルの形成に使用すると望ましい格子不整合半導体である。ひずみは、第1の半導体と第2の半導体とが互いに格子不整合であるときに第1の半導体の単結晶の上に第2の半導体を成長させたときに生み出される。シリコンとシリコン・ゲルマニウムは互いに格子不整合であり、そのため一方を他方の上に成長させると、それぞれの内部に引張または圧縮ひずみが生じる。   Silicon germanium is a lattice mismatched semiconductor that is desirable for use in forming strained silicon transistor channels. Strain is created when a second semiconductor is grown on a single crystal of the first semiconductor when the first semiconductor and the second semiconductor are lattice mismatched to each other. Silicon and silicon-germanium are lattice mismatched to each other so that when one is grown on top of the other, tensile or compressive strain is created within each.

シリコン上には、シリコンの結晶構造と整合した結晶構造を有するシリコン・ゲルマニウムがエピタキシャル成長する。しかし、シリコン・ゲルマニウムは通常、シリコンよりも大きな結晶構造を有するため、エピタキシャル成長させたシリコン・ゲルマニウムは内部で圧縮される。   Silicon-germanium having a crystal structure that matches the crystal structure of silicon is epitaxially grown on the silicon. However, since silicon germanium usually has a larger crystal structure than silicon, the epitaxially grown silicon germanium is compressed internally.

ひずみシリコンを使用する他の提案では、基板が、非常に厚いシリコン・ゲルマニウム層を含む。あるいは、バルク基板が単結晶シリコン・ゲルマニウムからなる。シリコン・ゲルマニウム層内に形成する転位によってひずみが解放されるため、このシリコン・ゲルマニウム層または基板はいずれも、応力緩和層(relaxed layer)として知られている。単結晶SiGeの応力緩和層の上に単結晶シリコン層をエピタキシャル成長させると、エピタキシャル成長させたシリコン結晶層内に引張ひずみが生み出される。その結果、電子移動度が向上し、これによってNFETの性能が向上する。   In other proposals using strained silicon, the substrate includes a very thick silicon-germanium layer. Alternatively, the bulk substrate is made of single crystal silicon / germanium. Both the silicon germanium layer and the substrate are known as relaxed layers because the strain is released by the dislocations that form in the silicon germanium layer. When a single crystal silicon layer is epitaxially grown on the stress relaxation layer of single crystal SiGe, tensile strain is generated in the epitaxially grown silicon crystal layer. As a result, the electron mobility is improved, thereby improving the performance of the NFET.

しかし、このような技法は、SiGeが応力緩和されていることを要求し、このことはSiGe層が非常に厚いこと、すなわち厚さが少なくとも0.5から1.0μmであることを要求する。正孔移動度の向上を得ることは難しい。なぜなら、そうするためには、SiGe層のゲルマニウムの割合を大きくする必要があるからである。ゲルマニウムの割合を大きくすると、SiGe結晶内に過度の転位が生じる恐れがあり、これによって歩留りの問題が生じる。さらに、処理コストがひどく高いものになる可能性もある。   However, such a technique requires that the SiGe be stress relaxed, which requires that the SiGe layer be very thick, i.e., that the thickness be at least 0.5 to 1.0 [mu] m. It is difficult to improve the hole mobility. This is because in order to do so, it is necessary to increase the proportion of germanium in the SiGe layer. When the proportion of germanium is increased, excessive dislocations may occur in the SiGe crystal, which causes a yield problem. Furthermore, processing costs can be prohibitive.

傾斜Ge濃度法(graded Ge concentration method)、化学機械研磨法などの他の技法も、これらの膜の品質を向上させるために使用される。しかし、それらの技法では、高コストおよび高欠陥密度が問題となる。   Other techniques such as graded Ge concentration methods, chemical mechanical polishing methods, etc. are also used to improve the quality of these films. However, high cost and high defect density are a problem with these techniques.

したがって、厚いSiGe結晶領域を使用せずにPFETのチャネル領域内にひずみを生じさせることが望ましい。PFETのソースおよびドレイン領域にエピタキシャル成長させたSiGe膜を使用してデバイスのチャネル領域内に所望のひずみを生み出すことが望ましい。   Therefore, it is desirable to create strain in the channel region of the PFET without using a thick SiGe crystal region. It is desirable to use SiGe films epitaxially grown in the source and drain regions of the PFET to create the desired strain in the device channel region.

さらに、SiGe膜が望ましい大きな応力を加えることができるようにし、SiGe膜が応力緩和膜になることを防ぐため、SiGe膜を十分に薄く形成することが望ましい。   Furthermore, it is desirable to form the SiGe film sufficiently thin in order to allow the SiGe film to apply a desired large stress and to prevent the SiGe film from becoming a stress relaxation film.

さらに、PFETのソースおよびドレイン領域内にSiGeエピタキシャル層を成長させることによって、PFETのチャネル領域の正孔移動度を増大させる圧縮ひずみを生み出すことが望ましい。   Furthermore, it is desirable to create a compressive strain that increases hole mobility in the channel region of the PFET by growing SiGe epitaxial layers in the source and drain regions of the PFET.

さらに、ゲート誘電体のレベルよりも高く延び、PFETのチャネル領域内に望ましいひずみを生み出すために格子不整合半導体を含む、一段高いソースおよびドレイン領域を形成するプロセスを提供することが望ましい。   In addition, it is desirable to provide a process that forms higher source and drain regions that extend above the level of the gate dielectric and include lattice mismatched semiconductors to create the desired strain in the channel region of the PFET.

さらに、PFETのチャネル領域には所望のひずみを生み出すが、NFETのチャネル領域には同じひずみを生じさせないプロセスを提供することが望ましい。   Furthermore, it is desirable to provide a process that produces the desired strain in the channel region of the PFET but does not cause the same strain in the channel region of the NFET.

さらに、PFETのチャネル領域の近くのPFETのソースおよびドレイン領域内に格子不整合半導体層を形成し、同時に、この格子不整合半導体層が同じ集積回路のNFETのチャネル領域の近くに形成されないようにする構造体およびその製造方法を提供することが望ましい。   Furthermore, a lattice mismatched semiconductor layer is formed in the source and drain regions of the PFET near the channel region of the PFET, and at the same time, the lattice mismatched semiconductor layer is not formed near the channel region of the NFET of the same integrated circuit. It is desirable to provide a structure and a method for manufacturing the same.

さらに、PFETのチャネル領域の近くのPFETの拡張領域内に格子不整合半導体層を形成し、同時に、この格子不整合半導体層が同じ集積回路のNFETのチャネル領域の近くの領域内には形成されないようにする構造体およびその製造方法を提供することが望ましい。   Furthermore, a lattice mismatched semiconductor layer is formed in the extended region of the PFET near the channel region of the PFET, and at the same time, the lattice mismatched semiconductor layer is not formed in the region near the channel region of the NFET of the same integrated circuit. It would be desirable to provide such a structure and method for making the same.

本発明の一態様によれば、p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む相補型金属酸化物半導体(CMOS)トランジスタを有する集積回路が提供される。NFETおよびPFETはそれぞれ、第1の半導体の単結晶層内に配置されたチャネル領域を有し、PFETのチャネル領域には第1の大きさの応力が加えられているが、NFETのチャネル領域には加えられていない。この応力は、第1の半導体とは格子不整合の第2の半導体の層によって加えられる。この第2の半導体の層は、PFETのソースおよびドレイン領域の中の、PFETのチャネル領域から第1の距離のところに形成されている。この第2の半導体の層は、NFETのソースおよびドレイン領域の中の、NFETのチャネル領域から第2の距離のところにも形成されており、第2の距離は第1の距離よりも大きい。   According to one aspect of the invention, an integrated circuit is provided having complementary metal oxide semiconductor (CMOS) transistors including a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET). Each of the NFET and the PFET has a channel region disposed in the first semiconductor single crystal layer, and the PFET channel region is applied with a first magnitude of stress. Has not been added. This stress is applied by a second semiconductor layer that is lattice mismatched with the first semiconductor. This second semiconductor layer is formed in the PFET source and drain regions at a first distance from the PFET channel region. The second semiconductor layer is also formed at a second distance from the NFET channel region in the NFET source and drain regions, where the second distance is greater than the first distance.

本発明の他の態様によれば、p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む集積回路を製造する方法が提供される。NFETおよびPFETはそれぞれ、第1の半導体の単結晶領域内に配置されたチャネル領域を有し、PFETのチャネル領域には第1の大きさの応力が加えられるが、NFETのチャネル領域にはこの第1の大きさの応力が加えられない。   In accordance with another aspect of the present invention, a method of manufacturing an integrated circuit including a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) is provided. Each of the NFET and the PFET has a channel region disposed in the single crystal region of the first semiconductor, and a stress of the first magnitude is applied to the channel region of the PFET, but this is applied to the channel region of the NFET. The first magnitude of stress is not applied.

この方法の好ましい一態様によれば、第1の半導体の単結晶領域の上に、この第1の半導体の単結晶領域の主表面上に形成されたゲート誘電体の上に重なるゲート導体と、ゲート導体の側壁に形成された第1の材料を含む第1のスペーサとをそれぞれが有するPFETゲート・スタックおよびNFETゲート・スタックが形成される。PFETゲート・スタックおよびNFETゲート・スタックの第1のスペーサの側壁に、第2の材料を含む第2のスペーサが形成される。次いで、NFETゲート・スタックの第2のスペーサから第2の材料が除去されることを防ぎつつ、PFETゲート・スタックの第2のスペーサから第2の材料の部分が、第1の材料に対して選択的に除去される。その後、第1の半導体の単結晶領域の露出した領域上に、第1の半導体とは格子不整合の第2の半導体の層を成長させて、PFETのチャネル領域には第1の大きさの応力が加えられるが、NFETのチャネル領域にはこの第1の大きさの応力が加えられないようにする。PFETおよびNFETを完成させるために、ソースおよびドレイン領域が製作される。   According to a preferred aspect of the method, a gate conductor overlies the gate dielectric formed on the main surface of the first semiconductor single crystal region, over the first semiconductor single crystal region; A PFET gate stack and an NFET gate stack are formed, each having a first spacer including a first material formed on a sidewall of the gate conductor. A second spacer comprising a second material is formed on the sidewalls of the first spacers of the PFET gate stack and the NFET gate stack. Then, a portion of the second material from the second spacer of the PFET gate stack is relative to the first material while preventing the second material from being removed from the second spacer of the NFET gate stack. Selectively removed. Thereafter, a second semiconductor layer lattice-mismatched with the first semiconductor is grown on the exposed region of the single crystal region of the first semiconductor, and the PFET channel region has a first size. Although stress is applied, this first magnitude of stress is not applied to the channel region of the NFET. Source and drain regions are fabricated to complete the PFET and NFET.

図1に、本発明の一実施形態に基づくp型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を示す。図1に示すとおり、NFET10およびPFET20は基板16の単結晶半導体領域14に製造されている。基板16はバルク基板とすることも、好ましくは、シリコン・オン・インシュレータ(SOI)基板など、絶縁層18の上に比較的に薄い半導体単結晶領域が形成されたセミコンダクタ・オン・インシュレータ基板とすることもできる。このようなSOI基板に電界効果トランジスタ(FET)を形成すると、トランジスタのチャネル領域とバルク基板の間の接合容量が排除されるため、SOI基板を使用しない場合よりも高速なスイッチング動作がしばしば達成される。基板は単結晶シリコン領域14を含むことが好ましく、絶縁層18の上に単結晶シリコン領域14を有するSOI基板であることがより好ましい。   FIG. 1 shows a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) according to one embodiment of the present invention. As shown in FIG. 1, the NFET 10 and the PFET 20 are manufactured in the single crystal semiconductor region 14 of the substrate 16. The substrate 16 may be a bulk substrate, or preferably a semiconductor-on-insulator substrate in which a relatively thin semiconductor single crystal region is formed on the insulating layer 18, such as a silicon-on-insulator (SOI) substrate. You can also. When a field effect transistor (FET) is formed on such an SOI substrate, the junction capacitance between the channel region of the transistor and the bulk substrate is eliminated, so that a switching operation that is faster than the case where the SOI substrate is not used is often achieved. The The substrate preferably includes the single crystal silicon region 14, and more preferably an SOI substrate having the single crystal silicon region 14 on the insulating layer 18.

この実施形態および後続の実施形態において説明するとおり、実質的にシリコンなどの第1の半導体からなることが好ましい基板の単結晶領域内に配置されたチャネル領域を有するNFETおよびPFETトランジスタの製造を参照する。第1の半導体はシリコンであることが好ましいので、格子不整合の第2の半導体は、シリコン・ゲルマニウム、炭化シリコンなどの異なる半導体であることが好ましく、シリコン・ゲルマニウム(SiGe)であることがより好ましい。ここでxおよびyは百分率であり、x+yは100パーセントである。xとyの間の変動の範囲は相当に大きくすることができ、例示的にyは1%から99%まで変化し、その場合、xは結果的に99%と1%の間で変化する。 See the fabrication of NFET and PFET transistors having channel regions disposed within a single crystal region of a substrate that is preferably substantially composed of a first semiconductor, such as silicon, as described in this and subsequent embodiments. To do. Since the first semiconductor is preferably silicon, the lattice-mismatched second semiconductor is preferably a different semiconductor such as silicon germanium or silicon carbide, and is silicon germanium (Si x Ge y ). It is more preferable. Where x and y are percentages and x + y is 100 percent. The range of variation between x and y can be quite large, illustratively y varies from 1% to 99%, in which case x results in a variation between 99% and 1%. .

しかし、本発明は、純粋なシリコン結晶内にトランジスタを製造することに限定されない。基板14の単結晶領域は実質的に、第1の式Six1Gey1に基づく割合のシリコン・ゲルマニウムからなることができ、x1およびy1は百分率であり、x1+y1=100%であり、第2の半導体層は実質的に、第2の式Six2Gey2に基づく異なる割合のシリコン・ゲルマニウムからなり、x2およびy2は百分率であり、x2+y2=100%であり、x1とx2は等しくなく、y1とy2は等しくない。第1の半導体とは格子不整合の第2の半導体は、PFETのチャネル領域の近くのPFETのソースおよびドレイン領域内にエピタキシャル成長によって形成され、同時に、この格子不整合の第2の半導体は、NFETのチャネル領域の近くには形成されない。 However, the present invention is not limited to manufacturing transistors in pure silicon crystals. The single crystal region of the substrate 14 can consist essentially of a proportion of silicon germanium based on the first formula Si x1 Ge y1 , where x1 and y1 are percentages, x1 + y1 = 100%, The semiconductor layer consists essentially of different proportions of silicon germanium based on the second formula Si x2 Ge y2 , where x2 and y2 are percentages, x2 + y2 = 100%, x1 and x2 are not equal, y1 and y2 is not equal. A second semiconductor that is lattice mismatched with the first semiconductor is formed by epitaxial growth in the source and drain regions of the PFET near the channel region of the PFET, and at the same time, the second semiconductor that is lattice mismatched is NFET It is not formed near the channel region.

図2は、本発明の実施形態の構造および方法が拠って立つ原理を理解する一助を提供する。図2は、関心の単結晶シリコン領域から横方向に変位した薄いシリコン・ゲルマニウム・エピタキシャル層によってこの関心の領域内に誘発される圧縮応力の大きさを示すグラフである。図2の曲線は、エピタキシャル層内のゲルマニウムのさまざまな濃度百分率ごとに、関心領域の縁からの横方向変位に対してマップされた圧縮応力の大きさを表している。   FIG. 2 provides an aid in understanding the principles upon which the structures and methods of embodiments of the present invention are based. FIG. 2 is a graph showing the magnitude of compressive stress induced in a region of interest by a thin silicon germanium epitaxial layer laterally displaced from the single crystal silicon region of interest. The curve in FIG. 2 represents the magnitude of the compressive stress mapped against the lateral displacement from the edge of the region of interest for each different concentration percentage of germanium in the epitaxial layer.

図2に示すとおり、Ge百分率37.5%を有するSiGe層は、横方向変位10nmにおいて単結晶シリコンの領域に350MPaの応力をかける。しかし、SiGe層からの横方向変位が増大するにつれて、応力の大きさは急速に低下する。同じGe百分率37.5%で見ると、応力は横方向変位30nmで150MPaまで低下する。これよりも小さな百分率を有するSiGe層もグラフに示されている。Ge百分率6.25%を有するSiGe層は、横方向変位10nmにおいて単結晶シリコンの領域に75MPaの応力をかける。しかし、横方向変位30nmで加えられる応力は約30MPaまで低下する。グラフの残りの曲線は、チャネル内に誘発される応力がGe含量の増大につれて増大することを示している。   As shown in FIG. 2, the SiGe layer having a Ge percentage of 37.5% applies a stress of 350 MPa to the region of single crystal silicon at a lateral displacement of 10 nm. However, as the lateral displacement from the SiGe layer increases, the magnitude of the stress decreases rapidly. Looking at the same Ge percentage of 37.5%, the stress drops to 150 MPa with a lateral displacement of 30 nm. A SiGe layer having a smaller percentage is also shown in the graph. A SiGe layer having a Ge percentage of 6.25% applies a stress of 75 MPa to the region of single crystal silicon at a lateral displacement of 10 nm. However, the stress applied at a lateral displacement of 30 nm decreases to about 30 MPa. The remaining curves in the graph show that the stress induced in the channel increases with increasing Ge content.

本明細書に記載された実施形態は、横方向変位の増大に伴う応力の急速な低下を利用して、ひずみ誘発性格子不整合ソースおよびドレイン領域をチャネル領域の近くに有するPFETを形成する。一方、ひずみ誘導性格子不整合ソースおよびドレイン領域をチャネル領域の近くに持たないNFETが形成される。   The embodiments described herein take advantage of the rapid decrease in stress associated with increased lateral displacement to form PFETs with strain-induced lattice mismatch source and drain regions near the channel region. On the other hand, an NFET is formed that does not have strain-induced lattice mismatch source and drain regions near the channel region.

本発明の教示は、組成AlInGaAsを有するIII−V族化合物半導体など他のタイプの半導体のトランジスタの製造に適用されることを理解されたい。ここでA、B、C、D、EおよびFは、半導体結晶中のそれぞれの元素Al、In、Ga、As、PおよびNの百分率をそれぞれ表し、これらの百分率は合計すると100になる。ガリウム・ヒ素(GaAs)、インジウム・リン(InP)、ガリウム窒素(GaN)およびInGaAsPはこのような半導体の一般的な例である。 The teachings of the present invention, it is to be understood to be applicable to the preparation of the composition Al A In B Ga C As D P E other types of semiconductor transistors, such as III-V compound semiconductor having N F. Here, A, B, C, D, E, and F represent percentages of the respective elements Al, In, Ga, As, P, and N in the semiconductor crystal, and these percentages are 100 in total. Gallium arsenic (GaAs), indium phosphide (InP), gallium nitrogen (GaN) and InGaAsP are common examples of such semiconductors.

図1に示すとおり、PFET20は、ゲート誘電体27と接触した濃くドープされたポリシリコン26の下層を含むことが好ましいゲート導体の下に配置されたチャネル領域22を含む。ゲート誘電体27は、単結晶半導体領域14上に熱成長させた二酸化シリコン層であることが好ましい。ソースおよびドレイン領域24に隣接して、チャネル領域22の近くに、ハロー(halo)領域23および拡張(extension)領域25が配置されていることが好ましい。   As shown in FIG. 1, the PFET 20 includes a channel region 22 disposed under a gate conductor, which preferably includes an underlayer of heavily doped polysilicon 26 in contact with a gate dielectric 27. The gate dielectric 27 is preferably a silicon dioxide layer thermally grown on the single crystal semiconductor region 14. A halo region 23 and an extension region 25 are preferably disposed adjacent to the source and drain regions 24 and near the channel region 22.

ゲート導体のポリシリコン下層26は、約1019cm−3の濃度に濃くドープされていることが好ましい。動作中にPFETがターンオンされたときに存在するp型伝導チャネルの仕事関数を整合させるため、PFET20のポリシリコン層26はホウ素などのp型ドーパントを含むことが好ましい。ゲート導体はさらに、ポリシリコン部分26の上に配置された低抵抗部分28を含むことが好ましい。低抵抗部分28は、ポリシリコン部分26よりもはるかに小さい抵抗を有し、好ましくは金属または金属のシリサイド(silicide)、あるいはその両方を含む。好ましい一実施形態では、低抵抗部分28が、自己整合プロセスによって形成されたシリサイド(「サリサイド(salicide)」)を含み、このシリサイドは、タングステン、チタンおよびコバルトを含む適当な金属のシリサイドである。ただし適当な金属はこれらに限定されるわけではない。このシリサイドがコバルトの化合物(CoSi)であるとより好ましい。 The polysilicon underlayer 26 of the gate conductor is preferably heavily doped to a concentration of about 10 19 cm −3 . In order to match the work function of the p-type conduction channel that is present when the PFET is turned on during operation, the polysilicon layer 26 of the PFET 20 preferably includes a p-type dopant such as boron. The gate conductor preferably further includes a low resistance portion 28 disposed over the polysilicon portion 26. The low resistance portion 28 has a much lower resistance than the polysilicon portion 26 and preferably comprises a metal or metal silicide, or both. In a preferred embodiment, the low resistance portion 28 includes a silicide formed by a self-aligned process (“salicide”), which is a suitable metal silicide including tungsten, titanium, and cobalt. However, suitable metals are not limited to these. More preferably, the silicide is a cobalt compound (CoSi 2 ).

ゲート導体はあるいは、ゲート誘電体27と接触したポリシリコン層の代わりに金属層を含むことができ、この金属層は、トランジスタのソースおよびドレイン領域の高温処理が完了した後に置換ゲート(replacement gate)として形成されたものであることが好ましい。   The gate conductor may alternatively include a metal layer instead of the polysilicon layer in contact with the gate dielectric 27, which metal layer is replaced by a replacement gate after high temperature processing of the source and drain regions of the transistor is complete. It is preferable that it is formed as.

単結晶シリコン領域16には、第1のスペーサ30の対、第2のスペーサ32の対および第3のスペーサ34の対によってそれぞれNFET10およびPFET20のチャネル領域122および22から離隔されたNFET10およびPFET20のソースおよびドレイン領域24が形成されている。NFET10のソースおよびドレイン領域24の上には、シリコン・ゲルマニウム・エピタキシャル層39と低抵抗層40とを含む一段高い一対のソース−ドレイン領域36が配置されている。PFET20のソースおよびドレイン領域24の上には、シリコン・ゲルマニウム層38と低抵抗層40とを含む一段高い一対のソース−ドレイン領域36が配置されている。この低抵抗層は、シリコン・ゲルマニウム層38、39上に付着され、後にこのシリコン・ゲルマニウムと反応してシリサイドを形成した金属から自己整合的に形成されたシリサイド、すなわち「サリサイド」であることが好ましい。このシリサイドは、タングステン、チタンおよびコバルトを含む適当な金属の化合物とすることができる。ただし適当な金属これらに限定されるわけではない。このシリサイドがコバルトのシリサイド、すなわちCoSiであるとより好ましい。 Single crystal silicon region 16 includes NFET 10 and PFET 20 separated from channel regions 122 and 22 of NFET 10 and PFET 20 by a first spacer 30 pair, a second spacer 32 pair, and a third spacer 34 pair, respectively. Source and drain regions 24 are formed. On the source and drain regions 24 of the NFET 10, a pair of higher source-drain regions 36 including a silicon germanium epitaxial layer 39 and a low resistance layer 40 are disposed. Above the source and drain regions 24 of the PFET 20, a pair of higher source-drain regions 36 including a silicon germanium layer 38 and a low resistance layer 40 are disposed. This low resistance layer may be a silicide, or “salicide”, formed in a self-aligned manner from a metal deposited on the silicon-germanium layers 38, 39 and later reacted with the silicon-germanium to form a silicide. preferable. The silicide can be a compound of a suitable metal including tungsten, titanium and cobalt. But not limited to those suitable metal. More preferably, the silicide is a cobalt silicide, that is, CoSi 2 .

図1に示すように、シリコン・ゲルマニウム層38は、PFET20の第2および第3のスペーサ32および34の下を、第1のスペーサ30の側壁まで横方向に延びている。このようにすると、シリコン・ゲルマニウム・エピタキシャル層38が、PFETのチャネル領域22の近くに配置されて、チャネル領域22内の正孔移動度に有利に働くことができる圧縮応力を加える。エピタキシャル層38がチャネル領域22に望ましい大きさの応力を加えるようにするため、第1のスペーサ30の幅は10nm以下であることが好ましい。   As shown in FIG. 1, the silicon-germanium layer 38 extends laterally under the second and third spacers 32 and 34 of the PFET 20 to the sidewalls of the first spacer 30. In this way, the silicon-germanium epitaxial layer 38 is placed near the channel region 22 of the PFET and applies a compressive stress that can favor the hole mobility in the channel region 22. In order for the epitaxial layer 38 to apply a desired amount of stress to the channel region 22, the width of the first spacer 30 is preferably 10 nm or less.

PFET20とは対照的に、NFET10のエピタキシャル層39は、少なくとも第1および第2のスペーサ30、32の幅をまたぐ距離だけ、チャネル領域122から横方向に変位している。このようにすると、シリコン・ゲルマニウム・エピタキシャル層39が、NFET性能に有害な影響を及ぼすほどNFETのチャネル領域122の近くには配置されない。   In contrast to PFET 20, epitaxial layer 39 of NFET 10 is laterally displaced from channel region 122 by a distance that spans at least the width of first and second spacers 30, 32. In this way, the silicon-germanium epitaxial layer 39 is not placed as close as possible to the NFET channel region 122 to adversely affect NFET performance.

図3から図12に、本発明の一実施形態に基づくCMOS製造プロセスの諸段階を示す。この実施形態に基づく処理の結果、p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)が形成される。PFETでは、格子不整合半導体層によって、第1の大きさの応力がチャネル領域に加えられる。一方、NFETのチャネル領域の近くには格子不整合半導体層が位置しないため、NFETのチャネル領域にはこの第1の大きさの応力が加えられない。このようにすると、PFETのキャリア移動度の増大が達成され、同時に、NFETの望ましい性能が維持される。   3-12 illustrate the steps of a CMOS manufacturing process according to one embodiment of the present invention. As a result of the processing based on this embodiment, a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) are formed. In a PFET, a first magnitude stress is applied to the channel region by the lattice mismatched semiconductor layer. On the other hand, since the lattice-mismatched semiconductor layer is not located near the channel region of the NFET, the first magnitude stress is not applied to the channel region of the NFET. In this way, an increase in the carrier mobility of the PFET is achieved while maintaining the desirable performance of the NFET.

図3に、本発明の一実施形態に基づくPFETおよびNFETを形成する処理の一段階を示す。図3に示すように、基板の単結晶半導体領域14の上に、PFETゲート・スタック44およびNFETゲート・スタック45が形成される。単結晶領域14は実質的に、前述の第1の半導体材料からなる。PFETゲート・スタック44は、単結晶領域14の上に重なるゲート誘電体27と、このゲート誘電体と接触した、ポリシリコンを含むことが好ましいゲート導体層26と、実質的に窒化シリコンからなることが好ましい絶縁キャップ50とを含む。NFETゲート・スタック45は、単結晶領域14の上に重なるゲート誘電体27と、ゲート誘電体27と接触した、ポリシリコンを含むことが好ましいゲート導体層26と、実質的に窒化シリコンからなることが好ましい絶縁キャップ50とを含む。   FIG. 3 illustrates one stage of a process for forming PFETs and NFETs according to one embodiment of the present invention. As shown in FIG. 3, a PFET gate stack 44 and an NFET gate stack 45 are formed over the single crystal semiconductor region 14 of the substrate. The single crystal region 14 is substantially made of the first semiconductor material described above. PFET gate stack 44 consists essentially of silicon nitride, with gate dielectric 27 overlying single crystal region 14, gate conductor layer 26, preferably in contact with polysilicon, in contact with the gate dielectric. And a preferable insulating cap 50. NFET gate stack 45 consists essentially of silicon nitride, gate dielectric 27 overlying single crystal region 14, gate conductor layer 26, preferably in contact with gate dielectric 27, preferably comprising polysilicon. And a preferable insulating cap 50.

一実施形態では、この段階ですでに、PFETゲート・スタックおよびNFETゲート・スタックのゲート導体26が、望ましい仕事関数を与える所望のドーパント型および濃度を有する。例えば、PFETゲート・スタック44がp+ドープされたゲート導体層26を有し、NFETゲート・スタック45がn+ドープされたゲート導体層26を有する。   In one embodiment, already at this stage, the gate conductors 26 of the PFET gate stack and NFET gate stack have the desired dopant type and concentration to provide the desired work function. For example, the PFET gate stack 44 has a p + doped gate conductor layer 26 and the NFET gate stack 45 has an n + doped gate conductor layer 26.

次に、図4に示すように、NFETゲート・スタック45の下のチャネル領域122内へ注入が深く侵入し過ぎないようにするためのマスクとしてNFETゲート・スタック45を使用して、NFETゲート・スタック45に隣接した単結晶領域14の活性領域への拡張注入(extension implant)およびハロー注入(halo implant)が実行されることが好ましい。このような注入の間、PFETゲート・スタック44に隣接した活性領域は、フォトレジスト材料を含むことが好ましいブロック・マスク42などによって注入が防がれる。   Next, as shown in FIG. 4, NFET gate stack 45 is used as a mask to prevent implantation from penetrating too deeply into channel region 122 under NFET gate stack 45. Preferably, an extension implantation and a halo implantation into the active region of the single crystal region 14 adjacent to the stack 45 are performed. During such implantation, the active region adjacent to the PFET gate stack 44 is prevented by implantation, such as by a block mask 42, which preferably includes a photoresist material.

次に、図5に示すように、ブロック・マスク42が除去され、PFETゲート・スタック44およびNFETゲート・スタック45の側壁に、第1のスペーサ30の対が形成される。スペーサ30は、窒化シリコンなどの付着された窒化物から形成され、薄いことが好ましく、例えば厚さが3nmから20nm、より好ましくは5nmから15nm、最も好ましくは約10nmであることが好ましい。 Next, as shown in FIG. 5, the block mask 42 is removed and a first pair of spacers 30 are formed on the sidewalls of the PFET gate stack 44 and the NFET gate stack 45. The spacer 30 is formed from a deposited nitride such as silicon nitride and is preferably thin, for example, a thickness of 3 nm to 20 nm, more preferably 5 nm to 15 nm, and most preferably about 10 nm.

次に、図6に示すように、PFETゲート・スタック44の下のチャネル領域22の中へ注入が深く侵入し過ぎないようにするためのマスクとしてPFETゲート・スタック44を使用して、PFETゲート・スタック44に隣接した単結晶領域14の活性領域への拡張注入およびハロー注入が実行されることが好ましい。このような注入の間、NFETゲート・スタック45に隣接した活性領域は、フォトレジスト材料を含むことが好ましいブロック・マスク43などによって注入が防がれる。   Next, as shown in FIG. 6, the PFET gate stack 44 is used as a mask to prevent implantation from penetrating too deeply into the channel region 22 below the PFET gate stack 44. It is preferred that extension implantation and halo implantation into the active region of the single crystal region 14 adjacent to the stack 44 is performed. During such implantation, the active region adjacent to the NFET gate stack 45 is prevented by implantation, such as by a block mask 43, which preferably includes a photoresist material.

その後、図7に示すように、ブロック・マスク43が除去され、PFETゲート・スタック44およびNFETゲート・スタック45の上に厚い共形の材料層46が付着される。共形材料層46は、事実上、導電層やまたは半導電層ではなく絶縁層でなければならない。共形材料層46は酸化物、好ましくは二酸化シリコンを含むことが好ましく、テトラエチルオルトシリカート(TEOS)などの前駆物質から低温で付着されることが好ましい。以下、この層46の材料を「酸化物」と呼ぶ。   Thereafter, the block mask 43 is removed and a thick conformal material layer 46 is deposited over the PFET gate stack 44 and the NFET gate stack 45, as shown in FIG. The conformal material layer 46 should effectively be an insulating layer rather than a conductive layer or a semiconductive layer. The conformal material layer 46 preferably comprises an oxide, preferably silicon dioxide, and is preferably deposited at a low temperature from a precursor such as tetraethylorthosilicate (TEOS). Hereinafter, the material of the layer 46 is referred to as “oxide”.

次に、図8に示すように、好ましくは窒化物材料を含み、より好ましくは窒化シリコンである追加のスペーサすなわち第3のスペーサ48の対が、PFETゲート・スタック44およびNFETゲート・スタック45の両方の酸化層46の上に形成される。このプロセスは、窒化シリコンの共形層を付着させ、次いでこの構造を反応性イオンエッチング(RIE)などによって垂直にエッチングして、酸化層46の側壁にスペーサ48が残り、水平面から共形の窒化層が除去されるようにすることによって実行されることが好ましい。   Next, as shown in FIG. 8, an additional spacer or third spacer 48 pair, preferably comprising a nitride material, and more preferably silicon nitride, is connected to the PFET gate stack 44 and the NFET gate stack 45. Formed on both oxide layers 46. This process deposits a conformal layer of silicon nitride and then etches the structure vertically, such as by reactive ion etching (RIE), leaving spacers 48 on the sidewalls of oxide layer 46, and conformal nitridation from the horizontal plane. It is preferably carried out by allowing the layer to be removed.

次に、図9に示すように、窒化物スペーサ48が所定の位置に配置された後に、窒化物に対して選択的なRIEなどによってこの構造の上面の酸化層46が、PFETゲート・スタック44およびNFETゲート・スタック45の両方の絶縁キャップ50のレベルまでエッチングされる。このようなエッチングの間に、それぞれのPFETゲート・スタック44およびNFETゲート・スタック45の窒化物スペーサ48を越えて延びる単結晶領域14の領域からも酸化層46が除去される。このようなエッチングの間、窒化物スペーサ48は構造の側壁をエッチングされることから保護し、絶縁キャップ50は、PFETゲート・スタックおよびNFETゲート・スタックのゲート導体26を、損傷またはエッチング、あるいはその両方から保護する。   Next, as shown in FIG. 9, after the nitride spacers 48 are in place, the oxide layer 46 on the top surface of the structure is formed into a PFET gate stack 44, such as by RIE selective to nitride. And to the level of the insulating cap 50 of both the NFET gate stack 45. During such etching, the oxide layer 46 is also removed from the regions of the single crystal region 14 that extend beyond the nitride spacers 48 of the respective PFET gate stack 44 and NFET gate stack 45. During such etching, the nitride spacer 48 protects the sidewalls of the structure from being etched, and the insulating cap 50 damages or etches the gate conductor 26 of the PFET gate stack and NFET gate stack, or otherwise. Protect from both.

その後、図10に示すように、NFETゲート・スタック45を含む領域の上にブロック・マスク52が再びかけられ、PFETゲート・スタック44は露出したまま残される。ブロック・マスク52はフォトレジスト材料を含むことが好ましい。ブロック・マスク52が所定の位置に配置された後、窒化物に対して選択的な等方性の湿式化学エッチングなどによって、PFETゲート・スタック44に付着した酸化層46がアンダーカットされる。これにより、図10に示された外観を有する酸化層46が得られる。このエッチングの結果、単結晶半導体領域14の主表面54が露出される。   Thereafter, as shown in FIG. 10, a block mask 52 is again applied over the area containing the NFET gate stack 45, leaving the PFET gate stack 44 exposed. Block mask 52 preferably comprises a photoresist material. After the block mask 52 is in place, the oxide layer 46 deposited on the PFET gate stack 44 is undercut, such as by an isotropic wet chemical etch selective to nitride. As a result, the oxide layer 46 having the appearance shown in FIG. 10 is obtained. As a result of this etching, main surface 54 of single crystal semiconductor region 14 is exposed.

その後、図11に示すように、第1の半導体とは格子不整合の第2の半導体の単結晶層が、単結晶半導体領域14の主表面にエピタキシャル成長される。図1に関して先に説明したとおり、単結晶半導体領域14がゲルマニウムを含むか否かに関わらず、第2の半導体は、単結晶半導体領域14のゲルマニウム百分率よりも高いゲルマニウム百分率を有するシリコン・ゲルマニウムであることが好ましい。PFET領域ではこの層38が、酸化層46のアンダーカットされた部分56の下に形成され、そのため、第1の窒化物スペーサ30だけによってチャネル領域22から横方向に離隔されている層38は、PFET20のチャネル領域22の近くで圧縮応力を加える。   Thereafter, as shown in FIG. 11, a single crystal layer of a second semiconductor that is lattice-mismatched with the first semiconductor is epitaxially grown on the main surface of the single crystal semiconductor region 14. As described above with respect to FIG. 1, regardless of whether the single crystal semiconductor region 14 includes germanium, the second semiconductor is silicon germanium having a germanium percentage that is higher than the germanium percentage of the single crystal semiconductor region 14. Preferably there is. In the PFET region, this layer 38 is formed under the undercut portion 56 of the oxide layer 46 so that the layer 38 laterally separated from the channel region 22 only by the first nitride spacer 30 is A compressive stress is applied near the channel region 22 of the PFET 20.

一方、NFETでは、圧縮応力がNFETの電子移動度の妨げとなるため、ゲート導体26の近くにはシリコン・ゲルマニウム層39が形成されず、そのため、層39がNFETのチャネル領域122に加える圧縮応力は、PFETのチャネル領域22に加えられる圧縮応力ほどには大きくない。しかし、この応力を誘発する格子不整合半導体層が、NFET10のチャネル領域122から十分な距離だけ変位されている場合には、図2に関して先に説明したとおり、その圧縮応力を許容することができる。さらに、スペーサ30および酸化層46のパラメータを調整して、NFET内の電子移動度を向上させる小さな逆応力(counter stress)を加えることもできる。このような逆応力は、シリコン・ゲルマニウム層39によってNFETチャネル領域122内に加えられた小さな圧縮応力の効果に対抗する小さな引張応力として加えられるだろう。   On the other hand, in the NFET, since the compressive stress hinders the electron mobility of the NFET, the silicon-germanium layer 39 is not formed near the gate conductor 26. Therefore, the compressive stress that the layer 39 applies to the channel region 122 of the NFET. Is not as great as the compressive stress applied to the channel region 22 of the PFET. However, if the lattice-mismatched semiconductor layer that induces this stress is displaced a sufficient distance from the channel region 122 of the NFET 10, its compressive stress can be tolerated as described above with respect to FIG. . In addition, the spacer 30 and oxide layer 46 parameters can be adjusted to apply a small counter stress that improves electron mobility in the NFET. Such reverse stress will be applied as a small tensile stress to counter the effect of the small compressive stress applied by the silicon-germanium layer 39 into the NFET channel region 122.

この実施形態の最終処理段階が図1に示されている。この処理段階の間に、ゲート導体26、第1のスペーサ30、第2のスペーサ32および第3のスペーサ34を含むPFETゲート・スタック構造44をマスクとして使用して、単結晶領域14の中にPFET20のソースおよびドレイン領域24が注入される。その間、NFET10の領域はブロック・マスク(図示せず)によってこの注入から保護される。好ましくは別個の注入ステップにおいて、ゲート導体26、第1のスペーサ30、第2のスペーサ32および第3のスペーサ34を含むNFETゲート・スタック45をマスクとして使用して、単結晶領域14の中にNFET10のソースおよびドレイン領域24が注入される。その間、PFET20は、ブロック・マスク(図示せず)によってこの注入から保護される。その後、注入されたソースおよびドレイン領域24をアニールし、注入されたドーパントを所望の深さおよび横方向の寸法まで駆動するための高温処理を実行することができる。   The final processing stage of this embodiment is shown in FIG. During this processing step, the PFET gate stack structure 44 including the gate conductor 26, the first spacer 30, the second spacer 32, and the third spacer 34 is used as a mask in the single crystal region 14. Source and drain regions 24 of PFET 20 are implanted. Meanwhile, the region of NFET 10 is protected from this implantation by a block mask (not shown). Preferably in a separate implantation step, into the single crystal region 14 using an NFET gate stack 45 comprising a gate conductor 26, a first spacer 30, a second spacer 32 and a third spacer 34 as a mask. The source and drain regions 24 of NFET 10 are implanted. Meanwhile, PFET 20 is protected from this implantation by a block mask (not shown). Thereafter, the implanted source and drain regions 24 may be annealed and a high temperature process may be performed to drive the implanted dopant to the desired depth and lateral dimensions.

この時に、PFETゲート・スタック44およびNFETゲート・スタック45から窒化物絶縁キャップ50が除去される。次いで、図示の構造の上にシリサイド形成金属を付着させ、次いでこれを、これと接触したポリシリコン・ゲート導体26の半導体材料およびやはりこれと接触したシリコン・ゲルマニウムの層38および39と高温処理によって反応させて、自己整合シリサイド(「サリサイド」)40を形成することが好ましい。あるいは、ソースおよびドレイン領域24の高温アニールに続いて、スペーサ30、32間の窒化物絶縁キャップ50およびポリシリコン・ゲート導体26を、窒化物および酸化物に対して選択的なRIEなどによって除去し、その位置に金属置換ゲートを形成することもできる。このような代替プロセスでは、以前に形成されたゲート誘電体が、ポリシリコンRIEのエッチング・ストップ層、すなわち犠牲層として機能することが好ましい。ポリシリコン・ゲート26のRIE除去後に、最初に形成されたゲート誘電体は、RIE中のその層の損傷のため除去される。その後、除去された第1のゲート誘電体によって以前占められていた位置に、第2のゲート誘電体27が付着される。次いで、それによってスペーサ30、32間に形成された開口の中に金属ゲート導体が、単結晶シリコン・ゲルマニウム層38、39の共形層として付着される。このような方法では、PFET20およびNFET10の処理を実質的に完了した後に金属置換ゲートが形成される。   At this time, nitride insulation cap 50 is removed from PFET gate stack 44 and NFET gate stack 45. A silicide-forming metal is then deposited over the structure shown, which is then subjected to high temperature processing with the semiconductor material of the polysilicon gate conductor 26 in contact therewith and the silicon germanium layers 38 and 39 also in contact therewith. It is preferred to react to form self-aligned silicide (“salicide”) 40. Alternatively, following the high temperature anneal of the source and drain regions 24, the nitride insulation cap 50 and the polysilicon gate conductor 26 between the spacers 30, 32 are removed, such as by RIE selective to nitride and oxide. A metal replacement gate can also be formed at that position. In such an alternative process, the previously formed gate dielectric preferably functions as an etch stop layer or sacrificial layer of polysilicon RIE. After RIE removal of polysilicon gate 26, the initially formed gate dielectric is removed due to damage of that layer during RIE. Thereafter, a second gate dielectric 27 is deposited at the location previously occupied by the removed first gate dielectric. A metal gate conductor is then deposited in the opening thereby formed between the spacers 30, 32 as a conformal layer of single crystal silicon-germanium layers 38, 39. In such a method, the metal replacement gate is formed after the processing of PFET 20 and NFET 10 is substantially completed.

本発明に従って形成されたPFET220およびNFET210の他の実施形態を図12に示す。この実施形態では、シリサイド化された一段高いソースおよびドレイン領域224をNFET210のチャネル領域から望ましい距離だけ変位させ、シリサイド化された一段高いソースおよびドレイン領域224をPFET220のチャネル領域から望ましい距離だけ変位させるために、NFET210では4対のスペーサが利用される。図12に示すとおり、PFETの格子不整合半導体層238は、単結晶半導体領域214と接触した、PFETのチャネル領域322に近い一段高い層として形成されている。NFET210では、格子不整合半導体層239が一段高い層として形成されているが、この層は、層239とチャネル領域222の間の追加のスペーサ231の存在によって、NFET210のチャネル領域222の近くには形成されていない。この実施形態では、層239、238によって、NFETとPFETのチャネル領域222、322に異なる大きさの圧縮応力が加えられる。   Another embodiment of a PFET 220 and an NFET 210 formed in accordance with the present invention is shown in FIG. In this embodiment, the silicided higher source and drain regions 224 are displaced from the channel region of NFET 210 by the desired distance, and the silicided higher source and drain regions 224 are displaced from the channel region of PFET 220 by the desired distance. Therefore, four pairs of spacers are used in the NFET 210. As shown in FIG. 12, the lattice-mismatched semiconductor layer 238 of the PFET is formed as a higher layer close to the channel region 322 of the PFET that is in contact with the single crystal semiconductor region 214. In NFET 210, the lattice-mismatched semiconductor layer 239 is formed as a higher layer, but this layer is near the channel region 222 of the NFET 210 due to the presence of an additional spacer 231 between the layer 239 and the channel region 222. Not formed. In this embodiment, layers 239 and 238 apply different amounts of compressive stress to the NFET and PFET channel regions 222 and 322.

この実施形態では、第2のスペーサ231の幅240に基づいて、NFET210のチャネル領域内に生み出されるひずみの量を調整することができる。先に論じたとおり、NFET210のチャネル領域222からの層239の横方向変位が大きいほど、NFETのチャネル領域222内に生み出されるひずみは小さくなる。小さなひずみは、大きなひずみに比べて、NFET210の電子移動度に及ぼす否定的な影響が小さい。この実施形態では、実質的に窒化シリコンなどの材料からなる適当なサイズのスペーサを使用することによって、このような小さいひずみを達成することができる。   In this embodiment, the amount of strain created in the channel region of NFET 210 can be adjusted based on the width 240 of the second spacer 231. As discussed above, the greater the lateral displacement of the layer 239 from the channel region 222 of the NFET 210, the less strain is created in the channel region 222 of the NFET. A small strain has a smaller negative effect on the electron mobility of the NFET 210 than a large strain. In this embodiment, such a small strain can be achieved by using an appropriately sized spacer made substantially of a material such as silicon nitride.

スペーサ231は、付着された共形の窒化シリコン材料の厚さによって決まる幅240を有する。NFET210のチャネル領域222においてよりいっそう小さなひずみが必要な場合には、この窒化シリコン層をより厚く付着させることによって、窒化シリコン・スペーサ231の厚さをより厚くすることができる。   The spacer 231 has a width 240 determined by the thickness of the deposited conformal silicon nitride material. If even smaller strain is required in the channel region 222 of the NFET 210, the silicon nitride spacer 231 can be made thicker by depositing this silicon nitride layer thicker.

次に、この実施形態の製造の諸段階を図13から図18に関して説明する。図13に示すように、PFETゲート・スタック244およびNFETゲート・スタック245はそれぞれ、単結晶シリコン領域などの基板の単結晶半導体領域214上の熱成長させた酸化物であることが好ましいゲート誘電体227の上に重なるポリシリコン・ゲート226含む。ポリシリコン・ゲート226の上には絶縁キャップ250がある。ゲート・スタック構造244、245をパターン形成し、エッチングした後のポリシリコン・ゲート226の側壁には第1のスペーサ230の対が形成される。これらの第1のスペーサ230は薄いことが好ましく、厚さが3nmから20nm、より好ましくは5nmから15nm、最も好ましくは約10nmであることが好ましい。   The manufacturing steps of this embodiment will now be described with reference to FIGS. As shown in FIG. 13, the PFET gate stack 244 and the NFET gate stack 245 are each preferably a gate-grown oxide on a single crystal semiconductor region 214 of a substrate, such as a single crystal silicon region. A polysilicon gate 226 overlying 227 is included. Above the polysilicon gate 226 is an insulating cap 250. A pair of first spacers 230 are formed on the sidewalls of the polysilicon gate 226 after patterning and etching the gate stack structures 244, 245. These first spacers 230 are preferably thin and preferably have a thickness of 3 nm to 20 nm, more preferably 5 nm to 15 nm, and most preferably about 10 nm.

スペーサ230を形成した後、これらのスペーサに隣接したPFET220およびNFET210のソースおよびドレイン領域(図示せず)へのハロー・イオン注入および拡張イオン注入が、好ましくはNFET領域にブロック・マスクをかけ、その間にPFET領域に注入し、次いでPFET領域にブロック・マスクをかけ、その間にNFET領域に注入することによって実行される。その後、図14および15に示すように、第1のスペーサ230の対の側壁に第2のスペーサ231の対が形成される。これは、窒化シリコンなどの共形材料を付着させ、その後にRIEなどによってこの構造を垂直にエッチングして、図15に示した構造を得ることによって実行される。   After forming the spacers 230, halo and extended ion implantations into the source and drain regions (not shown) of the PFET 220 and NFET 210 adjacent to these spacers preferably place a block mask over the NFET region, This is done by implanting into the PFET region and then applying a block mask to the PFET region while implanting into the NFET region. Thereafter, as shown in FIGS. 14 and 15, a pair of second spacers 231 is formed on the side walls of the pair of first spacers 230. This is performed by depositing a conformal material such as silicon nitride and then etching the structure vertically by RIE or the like to obtain the structure shown in FIG.

その後、図16に示すように、NFETゲート・スタック245およびその隣接領域の上にブロック・マスク243がかけられる。次いで、PFETゲート・スタック244から第2のスペーサ231が除去される。次に、図17に示すように、基板の単結晶領域214の上にシリコン・ゲルマニウム238の層を選択的に成長させる。NFETゲート・スタック245の側壁に第2のスペーサ231が存在するため、シリコン・ゲルマニウムの層238は、PFETのチャネル領域322までの距離よりもより大きな距離(例えばスペーサ231の幅240)だけ、NFETのチャネル領域222から横方向に変位している。このようにすると、NFET内の電子移動度にあまり大きな影響を及ぼすことなく、PFET内の正孔移動度の増大が達成される。   Thereafter, a block mask 243 is applied over the NFET gate stack 245 and its adjacent regions, as shown in FIG. The second spacer 231 is then removed from the PFET gate stack 244. Next, as shown in FIG. 17, a layer of silicon germanium 238 is selectively grown on the single crystal region 214 of the substrate. Due to the presence of the second spacer 231 on the sidewalls of the NFET gate stack 245, the silicon germanium layer 238 is NFET more than the distance to the PFET channel region 322 (eg, the width 240 of the spacer 231). The channel region 222 is displaced laterally. In this way, increased hole mobility in the PFET is achieved without significantly affecting the electron mobility in the NFET.

次に、図18に示すように、追加のスペーサ232および234が形成される。これらのスペーサ232および234は、最終的な一段高いシリサイド化されたソースおよびドレイン領域224(図12)をNFETおよびPFETのチャネル領域222および322から離隔するために使用される。これらのスペーサ232、234はそれぞれ窒化物および酸化物を含むことが好ましい。スペーサ232は実質的に窒化物からなり、スペーサ234は実質的に酸化物からなることが好ましい。このプロセスの間に、追加のRIEエッチングが実行され、図18に示した構造を得る。最後に、ゲート・スタック244および245によって覆われていない層238の領域に、図12に示すような自己整合シリサイド層224が形成される。   Next, as shown in FIG. 18, additional spacers 232 and 234 are formed. These spacers 232 and 234 are used to separate the final higher step silicided source and drain regions 224 (FIG. 12) from the NFET and PFET channel regions 222 and 322. These spacers 232 and 234 preferably include nitride and oxide, respectively. The spacer 232 is preferably made of nitride, and the spacer 234 is preferably made of oxide. During this process, an additional RIE etch is performed to obtain the structure shown in FIG. Finally, a self-aligned silicide layer 224 as shown in FIG. 12 is formed in the region of layer 238 that is not covered by gate stacks 244 and 245.

本発明の他の実施形態を図19〜図21に示す。図12〜図18に関して示した実施形態とは対照的にこの実施形態では、図19に示すように、シリコン・ゲルマニウム層338がNFET構造310の部分を構成しない。層338はPFET構造320だけに配置される。こうすると、PFET320のチャネル領域422には圧縮応力が加えられるが、NFET310のチャネル領域423には加えられない。   Other embodiments of the present invention are shown in FIGS. In contrast to the embodiment shown with respect to FIGS. 12-18, in this embodiment, the silicon germanium layer 338 does not form part of the NFET structure 310, as shown in FIG. Layer 338 is disposed only on PFET structure 320. In this way, compressive stress is applied to the channel region 422 of the PFET 320 but not to the channel region 423 of the NFET 310.

PFET320およびNFET310を製造するプロセスを図20および図21に示す。図20に示すように、PFETゲート・スタック344およびNFETゲート・スタック345が形成され、これらはそれぞれ、熱成長させた酸化物からなることが好ましいゲート誘電体327の上に重なるポリシリコン・ゲート326と、窒化シリコンを含むことが好ましい絶縁キャップ350と、窒化シリコンを含むことが好ましい第1のスペーサ330の対とを含む。この時に、ハロー注入および拡張注入を実行することができる。 The process for manufacturing PFET 320 and NFET 310 is shown in FIGS. As shown in FIG. 20, PFET gate stack 344 and the NFET gate stack 345 is formed, each of which overlap with reportage over it is preferred gate dielectric 327 formed of an oxide thermally grown Rishirikon - It includes a gate 326, an insulating cap 350 that preferably includes silicon nitride, and a pair of first spacers 330 that preferably include silicon nitride. At this time, a halo implant and an extended implant can be performed.

その後に、共形材料層360が付着され、次いで、NFETゲート・スタック345に隣接した単結晶半導体領域314の活性領域だけを覆うようにパターン形成される。このような共形材料層は例示的に、酸化物または窒化物、あるいはこれらの組合せとすることができる。共形材料層360は窒化シリコンなどの窒化物を含むことが好ましい。その後、PFETゲート・スタック344に隣接した単結晶領域314の露出した活性領域上に、シリコン・ゲルマニウムなどの格子不整合半導体338をエピタキシャル成長させる。   Thereafter, a conformal material layer 360 is deposited and then patterned to cover only the active region of the single crystal semiconductor region 314 adjacent to the NFET gate stack 345. Such conformal material layers can illustratively be oxides or nitrides, or combinations thereof. The conformal material layer 360 preferably includes a nitride such as silicon nitride. Thereafter, a lattice mismatched semiconductor 338 such as silicon germanium is epitaxially grown on the exposed active region of the single crystal region 314 adjacent to the PFET gate stack 344.

その後、図21に示すように、共形層360の上に追加の複数の絶縁層が付着され、次いでこれらの層がRIEなどによって垂直にエッチングされて、スペーサ331および追加のスペーサ332、334が、例えば図18に関して先に説明した方法で形成される。その後に、図19に示すように、PFET320とNFET310の両方に自己整合シリサイド領域324および424が、例えば図12に関して先に説明した方法で形成されることが好ましい。   Thereafter, as shown in FIG. 21, an additional plurality of insulating layers are deposited on the conformal layer 360, and these layers are then etched vertically, such as by RIE, to form spacers 331 and additional spacers 332, 334. For example, it is formed by the method described above with reference to FIG. Thereafter, as shown in FIG. 19, self-aligned silicide regions 324 and 424 are preferably formed in both PFET 320 and NFET 310, for example, in the manner described above with respect to FIG.

本発明を、その好ましい実施形態に関して説明してきたが、添付の請求項によってのみ限定される本発明の真の範囲および趣旨から逸脱することなく実施することができる多くの変更および改良を当業者は理解しよう。   While the invention has been described in terms of preferred embodiments thereof, those skilled in the art will recognize that many changes and modifications may be made without departing from the true scope and spirit of the invention which is limited only by the appended claims. Let's understand.

本発明の一実施形態に基づくPFETおよびNFETを示す図である。FIG. 2 illustrates a PFET and an NFET according to one embodiment of the present invention. 薄いシリコン・ゲルマニウム・エピタキシャル層によって関与の単結晶シリコン領域に誘発される圧縮応力の大きさを示すグラフである。FIG. 6 is a graph showing the magnitude of compressive stress induced in a single crystal silicon region involved by a thin silicon germanium epitaxial layer. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の一実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 4 illustrates a stage in the manufacture of PFETs and NFETs according to one embodiment of the invention. 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 5 shows a stage in the manufacture of PFETs and NFETs according to another embodiment of the invention. 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 5 shows a stage in the manufacture of PFETs and NFETs according to another embodiment of the invention. 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 5 shows a stage in the manufacture of PFETs and NFETs according to another embodiment of the invention. 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 5 shows a stage in the manufacture of PFETs and NFETs according to another embodiment of the invention. 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 5 shows a stage in the manufacture of PFETs and NFETs according to another embodiment of the invention. 本発明の他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 5 shows a stage in the manufacture of PFETs and NFETs according to another embodiment of the invention. 本発明のさらに他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 6 shows a stage in the manufacture of PFETs and NFETs according to yet another embodiment of the invention. 本発明のさらに他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 6 shows a stage in the manufacture of PFETs and NFETs according to yet another embodiment of the invention. 本発明のさらに他の実施形態に基づくPFETおよびNFETの製造の一段階を示す図である。FIG. 6 shows a stage in the manufacture of PFETs and NFETs according to yet another embodiment of the invention.

Claims (18)

p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む相補型金属酸化物半導体(CMOS)トランジスタを有する集積回路構造体であって、前記NFETおよび前記PFETがそれぞれ、第1の半導体の単結晶層内に配置されたチャネル領域を有し、前記第1の半導体とは格子不整合の第2の半導体の層によって、前記PFETのチャネル領域には第1の大きさの応力が加えられているが、前記NFETのチャネル領域には加えられておらず、前記第2の半導体の前記層が、前記PFETのソースおよびドレイン領域で、前記PFETの前記チャネル領域から第1の距離のところに形成されており、前記第2の半導体の前記層が、前記NFETのソースおよびドレイン領域で、前記NFETの前記チャネル領域から第2の距離のところにも形成されており、前記第2の距離が前記第1の距離よりも大きい集積回路構造体。  An integrated circuit structure having complementary metal oxide semiconductor (CMOS) transistors including a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET), wherein each of the NFET and the PFET is a first A second semiconductor layer having a channel region disposed in a single crystal layer of the semiconductor and having a lattice mismatch with the first semiconductor causes a stress of a first magnitude in the channel region of the PFET. Although not added to the channel region of the NFET, the layer of the second semiconductor is at a first distance from the channel region of the PFET in the source and drain regions of the PFET. Where the layer of the second semiconductor is in the source and drain regions of the NFET and the channel of the NFET. Le region is also formed at a second distance, said second distance is greater than said first distance integrated circuit structure. 前記第1の半導体および前記第2の半導体が、式SiGeに基づく組成を有するシリコン含有半導体材料であり、xおよびyが百分率であり、前記第1の半導体の組成がx=100、y=0からx=1、y=99までの範囲にあり、前記第2の半導体の組成がx=99、y=1からx=1、y=99までの範囲にあり、前記第2の半導体のxが常に前記第1の半導体のxよりも小さい、請求項1に記載の集積回路構造体。The first semiconductor and the second semiconductor are silicon-containing semiconductor materials having a composition based on the formula Si x Ge y , x and y are percentages, and the composition of the first semiconductor is x = 100, y = 0 to x = 1, y = 99, and the composition of the second semiconductor is x = 99, y = 1 to x = 1, y = 99, The integrated circuit structure of claim 1, wherein x of the semiconductor is always smaller than x of the first semiconductor. 前記第1の半導体の前記単結晶領域が、前記NFETおよび前記PFETの前記チャネル領域上に形成されたゲート誘電体のレベルによって画定された主表面を有し、前記第2の半導体の前記層が前記主表面の上に形成された、請求項1に記載の集積回路構造体。  The single-crystal region of the first semiconductor has a major surface defined by a level of gate dielectric formed on the channel region of the NFET and the PFET, and the layer of the second semiconductor is The integrated circuit structure according to claim 1, wherein the integrated circuit structure is formed on the main surface. 前記第1の半導体が、シリコン、シリコン・ゲルマニウムおよび炭化シリコンからなるグループから選択された半導体からなり、前記第2の半導体が、シリコン、シリコン・ゲルマニウムおよび炭化シリコンからなるグループから選択された、前記第1の半導体とは異なる半導体からなる、請求項1に記載の集積回路構造体。The first semiconductor is made of a semiconductor selected from the group consisting of silicon, silicon germanium and silicon carbide, and the second semiconductor is selected from the group consisting of silicon, silicon germanium and silicon carbide, The integrated circuit structure according to claim 1, wherein the integrated circuit structure is made of a semiconductor different from the first semiconductor. 前記第1の半導体がシリコンからなり、前記第2の半導体がシリコン・ゲルマニウムからなる、請求項1に記載の集積回路構造体。Made from the first semiconductor starvation silicon, consisting of the second semiconductor starve silicon-germanium, the integrated circuit structure of claim 1. 前記第1の半導体が、第1の式Six1Gey1に基づくシリコン・ゲルマニウムからなり、x1およびy1が百分率であり、x1+y1=100%であり、y1が少なくとも1パーセントであり、前記第2の半導体が、第2の式Six2Gey2に基づくシリコン・ゲルマニウムからなり、x2およびy2が百分率であり、x2+y2=100%であり、y2が少なくとも1パーセントであり、x1とx2が等しくなく、y1とy2が等しくない、請求項1に記載の集積回路構造体。Said first semiconductor, a silicon-germanium based on the first formula Si x1 Ge y1, a x1 and y1 are percentages, x1 + y1 = a 100%, y1 is at least 1 percent, the second semiconductor, a silicon-germanium based on the second formula Si x2 Ge y2, x2 and y2 are percentages, x2 + y2 = a 100%, y2 is at least 1 percent, unequal x1 and x2, y1 The integrated circuit structure of claim 1 wherein y2 is not equal to y2. 前記応力が圧縮応力である、請求項1に記載の集積回路構造体。  The integrated circuit structure of claim 1, wherein the stress is a compressive stress. それぞれが基板の単結晶シリコン領域内に配置されたチャネル領域を有するp型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む相補型金属酸化物半導体(CMOS)トランジスタを有する集積回路構造体であって、前記PFETのソースおよびドレイン領域で、前記PFETの前記チャネル領域から第1の距離のところに配置され、前記NFETのソースおよびドレイン領域で、前記NFETの前記チャネル領域から前記第1の距離よりも大きい第2の距離のところに配置されたシリコン・ゲルマニウムからなる一段高い格子不整合半導体層によって、前記PFETの前記チャネル領域には第1の応力が加えられているが、前記NFETの前記チャネル領域には加えられておらず、前記シリコン・ゲルマニウムが、式SiGeに基づく組成を有し、xおよびyが、それぞれ少なくとも1パーセントである百分率であり、x+yが100パーセントである集積回路構造体。An integrated circuit having complementary metal oxide semiconductor (CMOS) transistors including a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) each having a channel region disposed within a single crystal silicon region of the substrate A structure, wherein the PFET source and drain regions are disposed at a first distance from the PFET channel region, the NFET source and drain regions, and the NFET channel region from the NFET . by a raised lattice-mismatched semiconductor layer made of divorced germanium disposed at a larger second distance greater than the first distance, said channel region of said PFET but is added first stress, It is not added to the channel region of the NFET and the silicon gate Maniumu has a composition based on the formula Si x Ge y, x and y is a percentage that is at least 1%, respectively, the integrated circuit structure x + y is 100 percent. p型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む集積回路構造体を製造する方法であって、
第1の組成を有する第1の単結晶半導体領域の上に、前記第1の単結晶半導体領域の主表面上に形成されたゲート誘電体の上に重なるゲート導体と、前記ゲート導体の側壁に形成された第1の材料を含む第1のスペーサとをそれぞれが有するPFETゲート・スタックおよびNFETゲート・スタックを形成すること、
前記PFETゲート・スタックおよび前記NFETゲート・スタックの前記第1のスペーサの側壁に、第2の材料を含む第2のスペーサを形成すること、
前記NFETゲート・スタックの前記第2のスペーサから前記第2の材料が除去されることを防ぎつつ、前記PFETゲート・スタックの前記第2のスペーサから前記第2の材料の部分を、前記第1の材料に対して選択的に除去すること、
その後、前記第1の単結晶半導体領域の露出した区域上に、前記第1の単結晶半導体領域とは格子不整合であり、第2の組成を有するエピタキシャルの単結晶半導体の層を成長させること、
前記エピタキシャルの単結晶半導体の層の少なくとも一部分を含む前記PFETのソースおよびドレイン領域を形成すること、
前記エピタキシャルの単結晶半導体の層の少なくとも一部分を含む前記NFETのソースおよびドレイン領域を形成すること、
を含む方法。
A method of manufacturing an integrated circuit structure including a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) comprising:
A gate conductor overlying a gate dielectric formed on a main surface of the first single crystal semiconductor region on the first single crystal semiconductor region having the first composition; and on a side wall of the gate conductor. Forming a PFET gate stack and an NFET gate stack each having a first spacer comprising a formed first material;
Forming a second spacer comprising a second material on sidewalls of the first spacer of the PFET gate stack and the NFET gate stack;
The portion of the second material from the second spacer of the PFET gate stack is removed from the second spacer of the PFET gate stack while preventing the second material from being removed from the second spacer of the NFET gate stack. Selective removal of materials,
Thereafter, an epitaxial single crystal semiconductor layer having a second composition and a lattice mismatch with the first single crystal semiconductor region is grown on the exposed area of the first single crystal semiconductor region. ,
Forming source and drain regions of the PFET including at least a portion of the epitaxial single crystal semiconductor layer;
Forming source and drain regions of the NFET including at least a portion of the epitaxial single crystal semiconductor layer ;
Including methods.
前記PFETのソースおよびドレイン領域が、前記主表面のレベルよりも上方の前記エピタキシャルの単結晶半導体の前記層に形成されることを含む、請求項に記載の方法。10. The method of claim 9 , comprising forming the PFET source and drain regions in the layer of the epitaxial single crystal semiconductor above the level of the major surface. 前記NFETのソースおよびドレイン領域が、前記主表面のレベルよりも上方の前記エピタキシャルの単結晶半導体の前記層に形成されることを含む、請求項に記載の方法。10. The method of claim 9 , comprising forming the NFET source and drain regions in the layer of the epitaxial single crystal semiconductor above the level of the major surface. 前記PFETのソースおよびドレイン領域、前記NFETのソースおよびドレイン領域、前記PFETゲート・スタックの前記ゲート導体、および前記NFETゲート・スタックの前記ゲート導体から選択した少なくとも一つに自己整合されたシリサイド(サリサイド)を形成することをさらに含む、請求項に記載の方法。Self-aligned silicide (salicide) to at least one selected from the source and drain regions of the PFET, the source and drain regions of the NFET, the gate conductor of the PFET gate stack, and the gate conductor of the NFET gate stack 10. The method of claim 9 , further comprising: 前記第1の組成がシリコンであり、前記第2の組成がシリコン・ゲルマニウムであり、前記シリコン・ゲルマニウムが、少なくとも1パーセントのゲルマニウム含量を有する、請求項に記載の方法。The method of claim 9 , wherein the first composition is silicon, the second composition is silicon germanium, and the silicon germanium has a germanium content of at least 1 percent. 前記第1のスペーサを形成する前に、前記PFETゲート・スタックおよび前記NFETゲート・スタックの前記ゲート導体によってマスクされた前記第1の単結晶半導体領域の区域にイオン注入して、前記PFETおよびNFETのチャネル領域に自己整合された注入領域を形成することをさらに含む、請求項に記載の方法。Prior to forming the first spacer, the PFET and NFET are ion implanted into the region of the first single crystal semiconductor region masked by the gate conductor of the PFET gate stack and the NFET gate stack. The method of claim 9 , further comprising forming an implantation region that is self-aligned to the channel region. 前記第2のスペーサから前記第2の材料の部分を除去する前に、前記第2のスペーサの側壁に第3のスペーサを形成することをさらに含み、前記第3のスペーサが、前記PFETの前記ソースおよびドレイン領域と前記PFETのチャネル領域との間の間隔を画定する、請求項に記載の方法。Prior to removing a portion of the second material from the second spacer, further comprising forming a third spacer on a sidewall of the second spacer, wherein the third spacer comprises the PFET The method of claim 9 , wherein a spacing between source and drain regions and the channel region of the PFET is defined. 前記PFETゲート・スタックから前記第2のスペーサの前記第2の材料の部分を除去するときに、マスクによって、前記NFETゲート・スタック上に形成された前記第1および第2のスペーサを覆い保護することをさらに含む、請求項に記載の方法。A mask covers and protects the first and second spacers formed on the NFET gate stack when removing the second material portion of the second spacer from the PFET gate stack. 10. The method of claim 9 , further comprising: 第1の半導体からなる単結晶領域に配置されたチャネル領域を有するp型電界効果トランジスタ(PFET)およびn型電界効果トランジスタ(NFET)を含む集積回路構造体を製造する方法であって、
前記第1の半導体とは格子不整合である第2の半導体からなる第1の部分で、前記PFETの前記チャネル領域に第1の大きさの応力が加えられるように前記PFETの前記チャネル領域から第1の距離に配置された前記第1の部分を有する、前記PFETのソースおよびドレイン領域を形成すること、
前記第2の半導体からなる第2の部分で、前記NFETの前記チャネル領域に前記第1の大きさよりも小さい第2の大きさの応力が加えられるように、前記NFETの前記チャネル領域から前記第1の距離よりも大きい第2の距離に配置された前記第2の部分を有する、前記NFETのソースおよびドレイン領域を形成すること、
を含む方法。
A method of manufacturing an integrated circuit structure including a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET) having a channel region disposed in a single crystal region made of a first semiconductor,
A first portion of a second semiconductor that is lattice-mismatched with the first semiconductor and from the channel region of the PFET such that a first magnitude of stress is applied to the channel region of the PFET. Forming source and drain regions of the PFET having the first portion disposed at a first distance;
In the second portion made of the second semiconductor, a stress having a second magnitude smaller than the first magnitude is applied to the channel area of the NFET from the channel area of the NFET. Forming the source and drain regions of the NFET having the second portion disposed at a second distance greater than a distance of one;
Including methods.
前記単結晶領域が、前記PFETおよび前記NFETの前記チャネル領域上に形成されたゲート誘電体のレベルによって画定された主表面を有し、前記第1および第2の部分を前記主表面の上に形成することを含む、請求項17に記載の方法。The single crystal region has a major surface defined by a level of gate dielectric formed on the channel regions of the PFET and the NFET, and the first and second portions are on the major surface. The method of claim 17 , comprising forming.
JP2006526140A 2003-09-10 2004-08-30 Strain channel CMOS transistor structure having lattice-mismatched epitaxial extension region and source and drain regions and method of manufacturing the same Active JP4808622B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/605,134 US6906360B2 (en) 2003-09-10 2003-09-10 Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US10/605,134 2003-09-10
PCT/US2004/028163 WO2005027192A2 (en) 2003-09-10 2004-08-30 Structure and method of making strained channel cmos transistors having lattice-mismatched epitaxial extension and source and drain regions

Publications (3)

Publication Number Publication Date
JP2007509486A JP2007509486A (en) 2007-04-12
JP2007509486A5 JP2007509486A5 (en) 2007-10-11
JP4808622B2 true JP4808622B2 (en) 2011-11-02

Family

ID=34225881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006526140A Active JP4808622B2 (en) 2003-09-10 2004-08-30 Strain channel CMOS transistor structure having lattice-mismatched epitaxial extension region and source and drain regions and method of manufacturing the same

Country Status (7)

Country Link
US (2) US6906360B2 (en)
EP (1) EP1668672A4 (en)
JP (1) JP4808622B2 (en)
KR (1) KR100810012B1 (en)
CN (1) CN1985375B (en)
TW (1) TWI318435B (en)
WO (1) WO2005027192A2 (en)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100498475B1 (en) * 2003-01-07 2005-07-01 삼성전자주식회사 Mosfet structure and method of fabricating the same
JP2004311903A (en) * 2003-04-10 2004-11-04 Oki Electric Ind Co Ltd Semiconductor device and manufacturing method
US6949443B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
EP1986240B1 (en) * 2003-10-23 2016-03-09 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing semiconductor device
DE10351008B4 (en) * 2003-10-31 2008-07-10 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating transistors having elevated drain and source regions of different height and a semiconductor device
US7064027B2 (en) * 2003-11-13 2006-06-20 International Business Machines Corporation Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance
US7018891B2 (en) * 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
US7202145B2 (en) * 2004-06-03 2007-04-10 Taiwan Semiconductor Manufacturing Company Strained Si formed by anneal
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7279430B2 (en) * 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7161199B2 (en) * 2004-08-24 2007-01-09 Freescale Semiconductor, Inc. Transistor structure with stress modification and capacitive reduction feature in a width direction and method thereof
JP4116990B2 (en) * 2004-09-28 2008-07-09 富士通株式会社 Field effect transistor and manufacturing method thereof
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
JP4945900B2 (en) * 2005-01-06 2012-06-06 ソニー株式会社 Insulated gate field effect transistor and manufacturing method thereof
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7696537B2 (en) * 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
DE102005030583B4 (en) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Method for producing contact insulation layers and silicide regions having different properties of a semiconductor device and semiconductor device
EP1744351A3 (en) * 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
DE102005052054B4 (en) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device with shaped channel region transistors and method of making the same
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7566609B2 (en) * 2005-11-29 2009-07-28 International Business Machines Corporation Method of manufacturing a semiconductor structure
CN100411146C (en) * 2005-12-06 2008-08-13 联华电子股份有限公司 Method for fabricating strained-silicon CMOS transistors
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7279758B1 (en) 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7632729B2 (en) * 2006-09-27 2009-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device performance enhancement
US7550351B2 (en) * 2006-10-05 2009-06-23 International Business Machines Corporation Structure and method for creation of a transistor
JP5040286B2 (en) * 2006-12-13 2012-10-03 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method of semiconductor device
WO2008102448A1 (en) * 2007-02-22 2008-08-28 Fujitsu Microelectronics Limited Semiconductor device, and semiconductor device manufacturing method
CN101641792B (en) 2007-02-22 2012-03-21 富士通半导体股份有限公司 Semiconductor device and process for producing the same
JP4994139B2 (en) 2007-07-18 2012-08-08 パナソニック株式会社 Semiconductor device and manufacturing method thereof
US7659583B2 (en) * 2007-08-15 2010-02-09 International Business Machines Corporation Ultrathin SOI CMOS devices employing differential STI liners
US7572689B2 (en) * 2007-11-09 2009-08-11 International Business Machines Corporation Method and structure for reducing induced mechanical stresses
JP2009170523A (en) * 2008-01-11 2009-07-30 Rohm Co Ltd Semiconductor device and method for manufacturing the same
US7678634B2 (en) * 2008-01-28 2010-03-16 International Business Machines Corporation Local stress engineering for CMOS devices
US7892932B2 (en) 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101561059B1 (en) * 2008-11-20 2015-10-16 삼성전자주식회사 Semiconductor device and method of forming the same
DE102008064671B4 (en) * 2008-11-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating a semiconductor device having a gate structure and increasing the integrity of a high-k gate stack by protecting a coating on the gate bottom during exposure of the gate top
KR101606930B1 (en) * 2008-12-30 2016-03-28 주식회사 동부하이텍 Semiconductor and Method for Manufacturing the same
US7935593B2 (en) * 2009-02-05 2011-05-03 Samsung Electronics Co., Ltd. Stress optimization in dual embedded epitaxially grown semiconductor processing
DE102009015715B4 (en) * 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg A method of fabricating a transistor device while maintaining the integrity of a high-k gate stack through an offset spacer used to determine a spacing of a strain-inducing semiconductor alloy and transistor device
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8198194B2 (en) * 2010-03-23 2012-06-12 Samsung Electronics Co., Ltd. Methods of forming p-channel field effect transistors having SiGe source/drain regions
US8546228B2 (en) * 2010-06-16 2013-10-01 International Business Machines Corporation Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
US8957462B2 (en) * 2010-12-09 2015-02-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising an N-type transistor with an N-type semiconductor containing nitrogen as a gate
US9001564B2 (en) 2011-06-29 2015-04-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for driving the same
US9087741B2 (en) 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
US9076817B2 (en) 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8828831B2 (en) * 2012-01-23 2014-09-09 International Business Machines Corporation Epitaxial replacement of a raised source/drain
US8912608B2 (en) * 2012-08-17 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US10438856B2 (en) * 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
KR102089682B1 (en) 2013-07-15 2020-03-16 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9831341B2 (en) 2014-06-16 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
KR102532497B1 (en) 2016-09-19 2023-05-17 삼성전자주식회사 Semiconductor device and method for manufacturing the same
CN109300789B (en) * 2017-07-25 2021-07-09 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
JP2021192396A (en) * 2018-09-14 2021-12-16 キオクシア株式会社 Integrated circuit device and manufacturing method for integrated circuit device
US10756184B2 (en) * 2018-11-05 2020-08-25 Globalfoundries Inc. Faceted epitaxial source/drain regions

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10107294A (en) * 1996-09-27 1998-04-24 Siemens Ag Integrated cmos circuit device and fabrication thereof
JPH10270685A (en) * 1997-03-27 1998-10-09 Sony Corp Field-effect transistor and manufacture thereof, semiconductor device and manufacture thereof and logic circuit containing semiconductor device thereof and semiconductor substrate
JPH10511506A (en) * 1994-12-23 1998-11-04 インテル・コーポレーション Novel transistor having ultra-thin tip and method of manufacturing the same
JPH11163343A (en) * 1997-11-28 1999-06-18 Nec Corp Semiconductor device and its manufacture
JP2000124327A (en) * 1998-10-14 2000-04-28 Toshiba Corp Semiconductor device and manufacture thereof
JP2000223703A (en) * 1999-01-29 2000-08-11 Toshiba Corp Semiconductor device and its manufacture
JP2001501033A (en) * 1996-09-27 2001-01-23 シーメンス アクチエンゲゼルシヤフト Method for manufacturing MOS transistor
JP2002043567A (en) * 2000-07-27 2002-02-08 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
JP2003188274A (en) * 2001-12-19 2003-07-04 Toshiba Corp Semiconductor device and its manufacturing method
WO2005010982A1 (en) * 2003-06-27 2005-02-03 Intel Corporation Pmos transistor strain optimization with raised junction regions

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268324A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Modified silicon CMOS process having selectively deposited Si/SiGe FETS
US5583059A (en) * 1994-06-01 1996-12-10 International Business Machines Corporation Fabrication of vertical SiGe base HBT with lateral collector contact on thin SOI
US5846857A (en) * 1997-09-05 1998-12-08 Advanced Micro Devices, Inc. CMOS processing employing removable sidewall spacers for independently optimized N- and P-channel transistor performance
US5989965A (en) * 1998-02-13 1999-11-23 Sharp Laboratories Of America, Inc. Nitride overhang structures for the silicidation of transistor electrodes with shallow junction
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP5000057B2 (en) * 2001-07-17 2012-08-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
FR2834575B1 (en) * 2002-01-09 2004-07-09 St Microelectronics Sa METHOD FOR MODELING AND PRODUCING AN INTEGRATED CIRCUIT COMPRISING AT LEAST ONE ISOLATED GRID FIELD EFFECT TRANSISTOR, AND CORRESPONDING INTEGRATED CIRCUIT
US20030166323A1 (en) * 2002-03-01 2003-09-04 Infineon Technologies North America Corp. Raised extension structure for high performance cmos
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10511506A (en) * 1994-12-23 1998-11-04 インテル・コーポレーション Novel transistor having ultra-thin tip and method of manufacturing the same
JPH10107294A (en) * 1996-09-27 1998-04-24 Siemens Ag Integrated cmos circuit device and fabrication thereof
JP2001501033A (en) * 1996-09-27 2001-01-23 シーメンス アクチエンゲゼルシヤフト Method for manufacturing MOS transistor
JPH10270685A (en) * 1997-03-27 1998-10-09 Sony Corp Field-effect transistor and manufacture thereof, semiconductor device and manufacture thereof and logic circuit containing semiconductor device thereof and semiconductor substrate
JPH11163343A (en) * 1997-11-28 1999-06-18 Nec Corp Semiconductor device and its manufacture
JP2000124327A (en) * 1998-10-14 2000-04-28 Toshiba Corp Semiconductor device and manufacture thereof
JP2000223703A (en) * 1999-01-29 2000-08-11 Toshiba Corp Semiconductor device and its manufacture
JP2002043567A (en) * 2000-07-27 2002-02-08 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
US20030080361A1 (en) * 2001-11-01 2003-05-01 Anand Murthy Semiconductor transistor having a stressed channel
JP2003188274A (en) * 2001-12-19 2003-07-04 Toshiba Corp Semiconductor device and its manufacturing method
WO2005010982A1 (en) * 2003-06-27 2005-02-03 Intel Corporation Pmos transistor strain optimization with raised junction regions

Also Published As

Publication number Publication date
CN1985375A (en) 2007-06-20
EP1668672A2 (en) 2006-06-14
US20050148133A1 (en) 2005-07-07
KR100810012B1 (en) 2008-03-07
WO2005027192A2 (en) 2005-03-24
KR20060060691A (en) 2006-06-05
US20050051851A1 (en) 2005-03-10
JP2007509486A (en) 2007-04-12
US7297583B2 (en) 2007-11-20
US6906360B2 (en) 2005-06-14
CN1985375B (en) 2011-01-19
EP1668672A4 (en) 2008-06-25
TWI318435B (en) 2009-12-11
WO2005027192A3 (en) 2006-12-21
TW200524087A (en) 2005-07-16

Similar Documents

Publication Publication Date Title
JP4808622B2 (en) Strain channel CMOS transistor structure having lattice-mismatched epitaxial extension region and source and drain regions and method of manufacturing the same
JP4808618B2 (en) Integrated circuit having strained semiconductor CMOS transistor with lattice mismatched source and drain regions and fabrication method
US7749833B2 (en) Semiconductor MOS transistor device and method for making the same
US7449378B2 (en) Structure and method for improved stress and yield in pFETS with embedded SiGe source/drain regions
US7807538B2 (en) Method of forming a silicide layer while applying a compressive or tensile strain to impurity layers
US8912567B2 (en) Strained channel transistor and method of fabrication thereof
US7547641B2 (en) Super hybrid SOI CMOS devices
US7442611B2 (en) Method of applying stresses to PFET and NFET transistor channels for improved performance
US7892931B2 (en) Use of a single mask during the formation of a transistor's drain extension and recessed strained epi regions
US20090184341A1 (en) Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070824

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110809

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110817

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140826

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4808622

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250