JP3778294B2 - 電極の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸気中で放電を発生させる方法及び装置 - Google Patents

電極の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸気中で放電を発生させる方法及び装置 Download PDF

Info

Publication number
JP3778294B2
JP3778294B2 JP51168395A JP51168395A JP3778294B2 JP 3778294 B2 JP3778294 B2 JP 3778294B2 JP 51168395 A JP51168395 A JP 51168395A JP 51168395 A JP51168395 A JP 51168395A JP 3778294 B2 JP3778294 B2 JP 3778294B2
Authority
JP
Japan
Prior art keywords
hollow
discharge
electrode
frequency
sputtering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP51168395A
Other languages
English (en)
Other versions
JPH09505355A (ja
Inventor
バルドス、ラディスラフ
バランコヴァ、ハナ
ベルグ、セーレン
Original Assignee
サブコー コーティングス オーワイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サブコー コーティングス オーワイ filed Critical サブコー コーティングス オーワイ
Publication of JPH09505355A publication Critical patent/JPH09505355A/ja
Application granted granted Critical
Publication of JP3778294B2 publication Critical patent/JP3778294B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Vaporization, Distillation, Condensation, Sublimation, And Cold Traps (AREA)
  • Electron Sources, Ion Sources (AREA)

Description

発明の技術分野
本発明は、高周波(radio frequency、RF)電極の持続的自己スパッタリングのために高周波電極自身の蒸気中に放電を発生させる方法及び装置に関する。
発明の背景
高周波電極自身の蒸気中におけるイオン衝撃による高周波電極の陰極の自己スパッタリングは、持続的自己スパッタリング(sustained self-sputtering)とも呼ばれている。この持続的自己スパッタリングは、イオン衝撃及び電極のスパッタリングに必要なイオンを発生させる放電がこの電極自身の蒸気中に維持される特殊なスパッタリング方式である。この方式では、これらの放電に典型的な極めて高い出力密度によって電極表面が高温になるため、電極材料の蒸発がスパッタリングに寄与する。陰極表面への重いイオンの衝撃及び電子の激しい放出と関係する高い電流密度は、その系で発生する特定の種類のプラズマアークと仮定することができる。陰極金属の蒸気の全体的な生成に対する種々の放電方式の寄与は、実験条件、特に、(i)放電の発生に使用される出力、(ii)電極の冷却、(iii)電極の材料及び形状寸法に依存する。この方式は1980年に細川らによって最初に報告された(Anelva Corp.日本)。彼らの報告では、円筒形の銅のターゲットが円筒形の直流マグネトロン(magnetron)中に発生したアルゴン放電中でスパッタされるものであった。直流マグネトロン中に供給される直流の出力が特定の閾値に達すると、ターゲットの全イオン衝撃に対する銅イオンの寄与は、アルゴンを用いないで放電を維持するために、銅の蒸気の高い分圧を生ずるのに十分に高いものであった。この場合、アルゴンの流入は止められており、放電は、銅のイオン自体の衝撃によって銅のターゲットの電極から放出される純粋な銅の蒸気中においてのみ維持されていた。ククラら(Kukla et al.)は、ターゲットの侵食領域を増大するために特に最適化した磁場を利用して、銅のターゲットをも備えたプレーナマグネトロン(planar magnetron)中におけるこの持続的自己スパッタリングを観察した(1990年,Leybold AG,ドイツ)。彼らは、持続的自己スパッタリングを開始するのに必要な最小のターゲットの直流の出力が約80W/cm2であることを報告した。ポサドウスキー(Posadowski)(1993年)及びシングウバラら(1993年)の最近の報告では、約100乃至250W/cm2の出力密度で銅の持続的自己スパッタリングを行うために同様のマグネトロン装置を用いていた。銅以外のターゲット材料は、持続的自己スパッタリングに適当なターゲット材料として報告されなかった。その理由は、他の材料についてのイオン衝撃における銅のスパッタリング収量が高いためである。通常のスパッタリング装置と比較して、低い動作圧力下でターゲットの電極(陰極)表面における放電の磁場による閉込めによりスパッタリング速度が比較的速いマグネトロン以外では、自己スパッタリン方式を生ずるためのスパッタリング装置は報告されていない。この低い動作圧力は、ターゲットに必要な蒸発温度が低圧ほど低く、従って、作動ガス中の蒸気の相対密度をより高くすることができるため、金属蒸発の蒸発温度を維持するために重要である。マグネトロンのこの利点にかかわらず、持続的自己スパッタリングの形態は、銅及び銀のターゲットのみによって行われていた。
本発明では、中空の基板及び管中にスパッタリングするフィルムのために本発明の発明者の一人によって最近開発されたスパッタリング及び蒸発原理を利用している。このスパッタリングは、中空の高周波電極内を流れる作動ガス中において高周波により生ずるプラズマジェット内で行われる。この中空の高周波電極が「中空陰極」の役割を果たすとともに、「陽極」は高周波プラズマ自体である。この中空の高周波電極は、プラズマジェット中に発生したイオンによってスパッタされるターゲットとしての役割を果たすことができる。電極材料は、プラズマジェット中の活性ガスと反応することができ、この反応の生成物を薄膜として基板表面上に析出させることができる。
発明の概要
従って、本発明は、上記の従来技術の発見及び欠点を克服し、高周波電極の持続的自己スパッタリング及び蒸発のために高周波電極の蒸気中で放電を発生させる改良された方法及び装置を提供することを目的とする。
本発明の第1の見地では、高周波電極の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸発中に放電を発生させる方法は、
(a)放電領域中に導入され且つ中空の高周波電極の内部に中空陰極放電を開始するのに必要な圧力にポンピングされる補助ガスの中で中空の高周波電極によって高周波放電を発生させ、中空の高周波電極の表面のスパッタリング及び/又は蒸発を引き起こす工程と、
(b)中空の高周波電極への高周波出力を増大させ、補助ガスの流入を止めて放電領域からのガスのポンピングを自己持続的放電の維持に必要な値に調節した後に自己持続的放電を維持する密度まで、高周波により生じた中空陰極放電中におけるスパッタリング及び/又は蒸発によって中空の高周波電極から放電される粒子を含む蒸気の密度を高める工程とからなる。
本発明の第2の見地では、中空の高周波電極は、補助ガスの入口として作用する。
本発明の第3の見地では、真空ポンプによってポンピングされる反応器と、インピーダンス整合ユニットを有する高周波発生器と、ガス容器とからなり、第1及び第2の見地による電極の持続的自己スパッタリングのために高周波電極自身の蒸気中に放電を発生させる装置は、真空貫通端子を貫通して反応器内に密閉して取り付けられるとともに端部に中空のターゲットが設けられた少なくとも一つの中空の高周波電極と、ガス弁を介してガス容器から反応器内の中空のターゲットの中に導入される補助ガスと、反応器をポンピングするために反応器と真空ポンプとの間に設けられた制御弁と、インピーダンス整合ユニットを介して高周波発生器から高周波電極に供給される高周波出力と、高周波電極と対電極との間で高周波プラズマを発生させる高周波発生器に接続された対電極と、補助ガスと、中空ターゲットの内側部分のスパッタリング及び/又は蒸発によって生じた蒸気との混合物中において、中空の高周波電極の中空ターゲットの内部で高周波出力及びラジオ出力プラズマによって発生した中空陰極放電とからなる。
本発明の第4の見地では、中空陰極放電は、中空ターゲットの激しいスパッタリング及び/又は蒸発を引き起こすのに十分な高周波出力の値で発生し、中空陰極放電が補助ガスなしで維持され、それによってガス弁が閉じられるとともに制御弁によって排気速度が減少する。
本発明の第5の見地では、中空の高周波電極は管状であり、補助ガスは中空の高周波電極を介して中空ターゲットの中に導入される。
本発明の第6の見地では、対電極が、反応器の壁部又は基板を備えた基板ホルダーによって与えられる。
本発明の第7の見地では、中空ターゲット中の中空陰極放電は、磁石又は電磁コイルによって生ずる磁場中で発生する。
【図面の簡単な説明】
上述した本発明の目的、特徴及び利点は、以下の図面とともに記載された本発明の説明から明らかになる。
図1(a)は、本発明による方法の工程(a)の概略図であり、放電領域中に導入され且つ中空の高周波電極の内部で中空陰極放電を開始するのに必要な圧力にポンピングされる補助ガス内において中空の高周波電極によって高周波放電が発生して、電極の表面のスパッタリング及び/又は蒸発が起こることを示している。
図1(b)は、本発明による方法の工程(b)の概略図であり、中空の高周波電極への高周波出力が増大すると、補助ガスを流入しないで、自己持続的放電の維持に必要な値にポンピングを調節して、中空陰極放電中におけるスパッタリング及び/又は蒸発によって高周波電極から放出される粒子を含む蒸気内に自己持続的放電を引き起こすことを示している。
図2は、本発明による実施例の概略的な平面図であり、本発明の方法によって高周波電極の持続的自己スパッタリングを行うために高周波電極自身の蒸気中で放電を発生させる装置の例を示している。
図3は、本発明による実施例の概略図であり、本発明の装置の中空の高周波電極に取り付けられる種々の形状の中空のターゲットを示している。
詳細な説明
図1(a)及び図1(b)を参照すると、本発明による方法は、それぞれの工程(a)及び(b)に記載できる。本発明による方法の工程(a)に対応する図1(a)では、端部に中空のターゲット2を備えた中空の高周波電極1に高周波出力3が供給される。高周波電極1は、補助ガス5中に高周波放電4を発生させ、排気速度6は、中空の高周波電極1の中空のターゲット2の内部に中空陰極放電7を開始するために必要な圧力に調整される。この中空陰極放電7は、仮想陽極の役割を果たす高周波プラズマ4について自動的に生ずる中空の高周波電極1の負の電位(これはセルフバイアスとしても知られている)によって発生する。中空陰極放電7は、この放電と接触する電極内面、即ち、高周波電極1の端部にある中空のターゲット2の内側部分のスパッタリング及び/又は蒸発を引き起こす。従って、中空陰極放電7は、事実上補助ガス5と中空のターゲット2のスパッタ及び/又は蒸発される材料との混合物中で励起される。中空のターゲット2から放出される蒸気の密度は、高周波出力3によって影響される中空陰極放電中に生ずるイオンによるイオン衝撃の強さに依存する。ターゲット2が中空形状のため、中空陰極放電7中のイオン密度は、通常のスパッタリング装置と比較して、比較的低い出力3で高くすることができる。同一の高周波出力3でも、この効果は、より小さい高周波電極1及び/又はターゲット2についてより高くすることができる。高周波プラズマ4の領域及び中空の高周波電極1の中空のターゲット2への補助ガス5の流入は、幾つかの方法により行うことができる。しかし、高周波放電4中に補助ガス5を導入するために管状の高周波電極1を使用すれば、より都合よく中空陰極放電7を発生させることができる。
本発明による方法の工程(b)に対応する図1(b)には、この方法の工程(a)の後に続く手順が示されている。高周波電極に供給する高周波出力3を増大させると、中空のターゲット2のスパッタリング及び/又は蒸発が高められ、イオン衝撃によって中空のターゲット2の侵食領域8を過熱することができる。これは、侵食領域8からの電子の放出を増加し、続いて追加のイオン化が生ずるとともに、この部分のイオン衝撃が高まる。このような電子なだれプロセスは、自己持続的中空陰極放電9が中空のターゲットから放出される蒸気中で燃焼することができ且つ(図1(a)に示すように)補助ガス5の流入を止めることができる場合に、質的に新しいプロセスまでターゲット材料を含む蒸気の生成を非常に高める。このような条件で、自己持続的中空陰極放電9を持続するために排気速度6が調節される。殆どの場合には、補助ガスの流入を止めた後に、蒸気の生成に依存して排気速度6を減少させ、即ち、ポンピングを止めなければならない。蒸気の生成を増大するために高周波出力3を増加することができるが、その最大値は、中空のターゲット2の過熱される侵食部分8の温度安定性によって制限される。
図2は、上述した方法によって電極の持続的自己スパッタリング及び蒸発のために高周波電極の蒸気中の放電を発生させる装置の例として本発明による実施例を示している。端部に中空のターゲット2が設けられた中空の高周波電極1は、絶縁された真空貫通端子14を貫通して反応器16内に密閉して取り付けられている。補助ガス5は、ガス容器10からガス弁11を介して反応器16内の中空のターゲット2中に導入され、反応器内の全体のガス圧力は、反応器16と真空ポンプ17との間に設けられた制御弁18によって制御される。高周波出力3は、直列のコンデンサ13を備えたインピーダンス整合ユニットを介して高周波発生器12の出力極から高周波電極1に供給される。高周波回路は、高周波発生器12の対極に接続された対電極15によって完成し、高周波プラズマ4は、高周波電極1と対電極15との間に発生する。十分な出力3では、中空陰極放電9は、補助ガス5と、中空陰極放電9による中空のターゲット2の内側部分のスパッタリング及び/又は蒸発によって生ずる蒸気との混合物中において中空のターゲット2の内部に発生する。中空のターゲット2の激しいスパッタリング及び/又は蒸発を引き起こすのに十分に高い高周波出力で発生させることができ、その結果この中空陰極放電9は、中空陰極放電9が中空のターゲット2から放出されるそれ自身の蒸発中のみに維持される。これらの条件では、ガス弁11が閉じられ、制御弁18によって排気速度が減少する。中空の高周波電極1と中空のターゲット2のいずれも管状にすることができ、反応器16への補助ガス5の入口として使用することができる。多くの場合には、対電極15は反応器の壁部と取り替えることができる。この装置を基板への膜の蒸発のために使用する場合には、基板19を保持する基板ホルダーが対電極15の役割を果たす。中空のターゲット2内の中空陰極放電9は、磁石又は電磁コイル20によって生ずる磁場内に発生させることができる。この装置では、中空のターゲット2の内部の中空陰極放電の密度は、磁場による閉込めによって高めることができる。また、この装置は、磁場がない場合よりも低い蒸気圧で中空陰極放電9の安定化のためにも使用される。
図3は、本発明による高周波電極1の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸気中に放電を発生させる装置の中空の高周波電極1の端部に設けられた中空のターゲット2の形状の例を示している。
図3(a)では、中空のターゲット2は円筒形であり、中空の高周波電極1の出口に取り付けられている。高周波出力3は、直列のコンデンサ13を備えたインピーダンス整合ユニットを介して高周波発生器12の出力極から高周波電極1に供給され、補助ガス5は、ガス弁11を介して中空のターゲット2の中に導入される。
図3(b)では、中空のターゲット2は、中空の高周波電極1に取り付けられた一組の円筒形のターゲットからなり、図3(c)では、中空のターゲット2は、スパッタ及び/又は蒸発されるべき物質の少なくとも2つの平行な板からなる。

本発明による方法及び装置は、銅及び銀以外の金属についても持続的自己スパッタリングのためにそれ自身の蒸気中に放電を発生させるために使用できる。(0.1乃至1mmのオーダーの)小さい直径の管状の電極中に高周波の中空陰極放電を発生させる可能性があるため、単位表面当たりの平均出力は、既に100Wのオーダーの高周波出力でKW/cm2のオーダーまで相当に高くなる可能性がある。従って、激しいイオン衝撃によるターゲットからスパッタ及び/又は蒸発される物質の寄与により、Tiのような超硬合金についてもアーク型の自己持続的放電を維持するために十分に高い蒸気圧が生ずる。Tiについてその自身の蒸気中に放電するための典型的な一組のプロセスパラメータの例は以下の通りである。
(1)電気的に接地された金属反応器内に取り付けられた管状の高周波電極の端部には、外径5mm、内径2mmの寸法を有するTi管状ターゲットが設けられている。
(2)150Wの高周波出力は、反応器内に高周波プラズマを発生し、連続的なポンピングによって約0.5Torrの圧力に維持された反応器内のTiターゲットを介して高周波電極中を流れるアルゴン内のTiターゲットの内部に中空陰極放電を起こす。
(3)250Wを超える高周波出力では、Tiターゲットはかなり高温になり、Tiターゲットの出口の回りに集中した自己維持放電は、アルゴンの流入を止めて排気速度が実質的に減少した後も安定したままである。
(4)これらの条件では、放電からの発光により純粋なチタンのみの存在が確認される。
他の例では、管状のMgターゲットを備えた高周波電極は、外径10mm、内径3mmを有する。この場合、Ar中の放電の発生に必要な出力は50W以上である。純粋なマグネシウム蒸気中の放電は、100W以上の高周波出力で維持できる。
本発明による電極自身の蒸気中の放電は、中空の電極中に流れ込む少量の反応ガスと組み合わせるのが好ましい。ターゲットの金属蒸気の全体的な生成へのこのガスの寄与は無視できるが、その主な役割は、ターゲットの金属の化合物の生成である。この反応プロセスは、従来の装置よりもかなり低いガス圧力で、Arのような不活性のキャリアガスが存在しなくても行うことができる。さらに、この少量の反応ガスは、容易に活性化、即ちイオン化し、その結果生ずるプラズマ化学反応の速度を速める。
本発明による電極自身の蒸発中の放電は、中空陰極放電の高周波の発生の代わりに直流についても行うことができる。しかし、高周波の場合は、高周波プラズマが中空陰極放電のために安定した自己前期イオン化(self pre-ionization)を引き起こすため、直流放電は高周波の場合よりも安定性が悪い。さらに、直流を発生させる場合には、中空陰極電極のすぐ近くに対応する陽極を配置しなければならない。高周波を発生させる場合には、高周波プラズマ自身が陽極の役割を果たす。
本発明による方法及び装置は、基板表面の局部的な中空部を高純度の膜によって蒸発しなければならない場合の応用例に特に利点がある。本発明による相違は、中空基板及び管の内部に膜を蒸発させるために利用できるのみならず、広い面積の膜蒸発に応用するための多電極装置又は平面平行電極の形状のいずれにも利用できる。

Claims (7)

  1. 持続的自己スパッタリングまたは蒸発のために高周波電極自身の蒸気を用いて放電を発生させる方法であって、
    (a)放電領域に導入される補助ガス(5)を用いて中空の高周波電極(1,2)により高周波放電(4)を発生させる工程であって、前記放電領域が前記中空の高周波電極(1,2)の内部における中空陰極放電を開始するために必要な圧力になるように前記放電領域の前記ガスをポンピングすることによって、前記中空の高周波電極(1,2)の表面におけるスパッタリングおよび蒸発を引き起こす工程と、
    (b)引き続き、前記中空の高周波電極(1,2)に供給する高周波電力を増大させてスパッタリングおよび蒸発により前記中空陰極放電(7)内における前記中空の高周波電極(1,2)から放出される粒子を含む蒸気密度を高め、前記補助ガス(5)の流入を止め、および前記放電領域からのガスのポンピングを調整することにより持続的自己スパッタリング放電を形成し維持する工程と
    を具備することを特徴とする放電を発生させる方法。
  2. 前記工程(a)において前記中空の高周波電極(1,2)が前記補助ガス(5)の入口として作用することを特徴とする請求項1記載の放電を発生させる方法。
  3. 前記工程(a)において、前記中空陰極放電(4)が前記中空の高周波電極(1,2)の激しいスパッタリング及び蒸発又はスパッタリング若しくは蒸発を引き起こすのに十分な高周波出力の値で発生し、前記中空陰極放電(9)が前記補助ガス(5)なしで維持され、それによって前記補助ガスの前記放電領域への流入を止めるとともに排気速度を減少させることを特徴とする請求項1又は2記載の放電を発生させる方法。
  4. 電極の持続的自己スパッタリングを発生させる装置であって、
    密閉された反応器(16)と、
    中空の高周波電極(1)であって前記反応器(16)の壁面から内部に伸張しその端部に中空のターゲット(2)を有するものと、
    対電極(15)と、
    前記中空の高周波電極(1)および前記対電極(15)と接続される高周波発生器(12)であって、前記中空の高周波電極(1)および前記対電極(15)との間に高周波プラズマを発生させるものと、
    ガスを前記中空の高周波電極(1)に供給するガス容器(10)と、
    前記反応器の排気をするポンピング装置(17)と
    を具備し、
    前記ガス容器(10)はバルブ(11)を介して前記中空の高周波電極(1)に接続され、
    前記ポンピング装置(17)の排気速度は制御バルブ(18)により制御されることを特徴とする装置。
  5. 前記中空の高周波電極(1)が管状であって、前記補助ガス(5)が前記中空の高周波電極(1)を介して前記中空ターゲット(2)の中に導入されることを特徴とする請求項4記載の装置。
  6. 前記対電極(15)が、前記反応器(16)の壁部又は基板(19)を備えた基盤ホルダーによって与えられることを特徴とする請求項4または5記載の装置。
  7. 前記中空ターゲット(2)中の前記中空陰極放電が、磁石又は電磁コイル(20)によって形成される磁場中で発生することを特徴とする請求項4乃至6記載の装置。
JP51168395A 1993-10-18 1994-10-12 電極の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸気中で放電を発生させる方法及び装置 Expired - Fee Related JP3778294B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE9303426A SE501888C2 (sv) 1993-10-18 1993-10-18 En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
SE9303426-2 1993-10-18
PCT/SE1994/000959 WO1995011322A1 (en) 1993-10-18 1994-10-12 A method and an apparatus for generation of a discharge in own vapors of a radio frequency electrode for sustained self-sputtering and evaporation of the electrode

Publications (2)

Publication Number Publication Date
JPH09505355A JPH09505355A (ja) 1997-05-27
JP3778294B2 true JP3778294B2 (ja) 2006-05-24

Family

ID=20391460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51168395A Expired - Fee Related JP3778294B2 (ja) 1993-10-18 1994-10-12 電極の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸気中で放電を発生させる方法及び装置

Country Status (13)

Country Link
US (1) US5716500A (ja)
EP (1) EP0726967B1 (ja)
JP (1) JP3778294B2 (ja)
KR (1) KR100270892B1 (ja)
AT (1) ATE224465T1 (ja)
AU (1) AU680958B2 (ja)
BR (1) BR9407844A (ja)
CA (1) CA2174507C (ja)
DE (1) DE69431405T2 (ja)
ES (1) ES2185670T3 (ja)
NO (1) NO313918B1 (ja)
SE (1) SE501888C2 (ja)
WO (1) WO1995011322A1 (ja)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE9403988L (sv) * 1994-11-18 1996-04-01 Ladislav Bardos Apparat för alstring av linjär ljusbågsurladdning för plasmabearbetning
US6406760B1 (en) 1996-06-10 2002-06-18 Celestech, Inc. Diamond film deposition on substrate arrays
US6173672B1 (en) * 1997-06-06 2001-01-16 Celestech, Inc. Diamond film deposition on substrate arrays
US6090457A (en) * 1997-10-21 2000-07-18 Sanyo Vaccum Industries Co. Ltd. Process of making a thin film
JP2001521989A (ja) * 1997-11-03 2001-11-13 シーメンス アクチエンゲゼルシヤフト 被膜形成方法及びこの方法を実施するための装置
CZ286310B6 (cs) * 1998-05-12 2000-03-15 Přírodovědecká Fakulta Masarykovy Univerzity Způsob vytváření fyzikálně a chemicky aktivního prostředí plazmovou tryskou a plazmová tryska
JP3973786B2 (ja) * 1998-12-28 2007-09-12 松下電器産業株式会社 スパッタリング方法及び装置
US6762136B1 (en) * 1999-11-01 2004-07-13 Jetek, Inc. Method for rapid thermal processing of substrates
US6352629B1 (en) * 2000-07-10 2002-03-05 Applied Materials, Inc. Coaxial electromagnet in a magnetron sputtering reactor
US6632323B2 (en) * 2001-01-31 2003-10-14 Plasmion Corporation Method and apparatus having pin electrode for surface treatment using capillary discharge plasma
US6444945B1 (en) 2001-03-28 2002-09-03 Cp Films, Inc. Bipolar plasma source, plasma sheet source, and effusion cell utilizing a bipolar plasma source
US20030203123A1 (en) * 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7444955B2 (en) * 2004-05-19 2008-11-04 Sub-One Technology, Inc. Apparatus for directing plasma flow to coat internal passageways
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7622721B2 (en) * 2007-02-09 2009-11-24 Michael Gutkin Focused anode layer ion source with converging and charge compensated beam (falcon)
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156876A1 (en) 2010-06-18 2011-12-22 Mahle Metal Leve S/A Plasma processing device
DE112010005668T5 (de) 2010-06-18 2013-05-02 Mahle International Gmbh Plasma-Verarbeitungsvorrichtung
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102869183A (zh) * 2011-07-08 2013-01-09 王殿儒 一种获得电离金属蒸气的方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
DE102012201956A1 (de) * 2012-02-09 2013-08-14 Krones Ag Hohlkathoden-Gaslanze für die Innenbeschichtung von Behältern
DE102012201955A1 (de) * 2012-02-09 2013-08-14 Krones Ag Powerlanze und plasmaunterstützte Beschichtung mit Hochfrequenzeinkopplung
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105722295B (zh) * 2016-03-11 2018-07-31 沈阳工业大学 一种三阴极等离子喷枪
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
WO2019126196A1 (en) 2017-12-22 2019-06-27 Lyten, Inc. Structured composite materials
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3830721A (en) * 1973-08-22 1974-08-20 Atomic Energy Commission Hollow cathode sputtering device
US4111782A (en) * 1974-12-23 1978-09-05 Telic Corporation Sputtering apparatus
JPS6115967A (ja) * 1984-06-29 1986-01-24 Sumitomo Electric Ind Ltd 表面処理方法
US4588490A (en) * 1985-05-22 1986-05-13 International Business Machines Corporation Hollow cathode enhanced magnetron sputter device
US4637853A (en) * 1985-07-29 1987-01-20 International Business Machines Corporation Hollow cathode enhanced plasma for high rate reactive ion etching and deposition
US5073245A (en) * 1990-07-10 1991-12-17 Hedgcoth Virgle L Slotted cylindrical hollow cathode/magnetron sputtering device

Also Published As

Publication number Publication date
KR100270892B1 (ko) 2000-12-01
WO1995011322A1 (en) 1995-04-27
BR9407844A (pt) 1997-05-13
DE69431405D1 (de) 2002-10-24
EP0726967B1 (en) 2002-09-18
SE501888C2 (sv) 1995-06-12
ATE224465T1 (de) 2002-10-15
JPH09505355A (ja) 1997-05-27
AU8007794A (en) 1995-05-08
CA2174507C (en) 2005-06-21
NO961534L (no) 1996-06-05
NO961534D0 (no) 1996-04-18
DE69431405T2 (de) 2003-01-16
SE9303426D0 (sv) 1993-10-18
AU680958B2 (en) 1997-08-14
ES2185670T3 (es) 2003-05-01
SE9303426L (sv) 1995-04-19
EP0726967A1 (en) 1996-08-21
CA2174507A1 (en) 1995-04-27
US5716500A (en) 1998-02-10
NO313918B1 (no) 2002-12-23

Similar Documents

Publication Publication Date Title
JP3778294B2 (ja) 電極の持続的自己スパッタリング及び蒸発のために高周波電極自身の蒸気中で放電を発生させる方法及び装置
JPH0763056B2 (ja) 薄膜形成装置
AU2006349512B2 (en) Method and apparatus for manufacturing cleaned substrates or clean substrates which are further processed
US6110540A (en) Plasma apparatus and method
JPH0641739A (ja) 高真空・高速イオン処理装置
JP2002069632A (ja) スパッタ装置およびスパッタ方法
JPH06128730A (ja) 金属薄膜の製造方法
TWI321810B (en) Plasma enhanced sputtering method and apparatus
JP4621347B2 (ja) ヘリコンプラズマを用いた超微粒子薄膜形成装置
RU1812239C (ru) Способ обработки металлических изделий в вакууме
JP2620474B2 (ja) イオンプレーティング装置
JPH0578838A (ja) スパツタリング装置
JPH06306588A (ja) 成膜装置及びそれを用いた複数の物質からなる 膜の製造方法
JP5785528B2 (ja) 被洗浄基板、あるいは、さらに処理される清潔な基板を製造するための、方法および装置
JP3378626B2 (ja) ダイヤモンド状被膜形成方法及び装置
JP2001181838A (ja) 真空成膜装置
CN114411099A (zh) 一种真空镀膜系统及镀膜方法
JPH0740469B2 (ja) イオン源装置、及びその運転方法
JPH01176072A (ja) イオンプレーティング装置
JP2004323965A (ja) ラジカル発生方法及び同装置
JPH073451A (ja) イオンビームスパッタ装置
JP2003213411A (ja) プラズマを用いる成膜装置
JPH11200038A (ja) マグネトロンスパッタ装置及びその方法
JP2003253440A (ja) 熱電子プラズマ発生装置
JPH0258349B2 (ja)

Legal Events

Date Code Title Description
A72 Notification of change in name of applicant

Free format text: JAPANESE INTERMEDIATE CODE: A721

Effective date: 20031226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050509

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050804

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060222

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100310

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110310

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees