JP3422540B2 - 電磁的に結合された平面プラズマ装置内の酸化物をエッチングするための改良されたプロセス - Google Patents

電磁的に結合された平面プラズマ装置内の酸化物をエッチングするための改良されたプロセス

Info

Publication number
JP3422540B2
JP3422540B2 JP30196793A JP30196793A JP3422540B2 JP 3422540 B2 JP3422540 B2 JP 3422540B2 JP 30196793 A JP30196793 A JP 30196793A JP 30196793 A JP30196793 A JP 30196793A JP 3422540 B2 JP3422540 B2 JP 3422540B2
Authority
JP
Japan
Prior art keywords
silicon
chamber
substrate
fluorine scavenger
solid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP30196793A
Other languages
English (en)
Other versions
JPH06283473A (ja
Inventor
エス. コリンズ ケネス
マークス ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25530259&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3422540(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH06283473A publication Critical patent/JPH06283473A/ja
Application granted granted Critical
Publication of JP3422540B2 publication Critical patent/JP3422540B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は、電磁的に結合された
平面プラズマ装置内の酸化物をエッチングするための改
良されたプロセス及び装置に関する。
【0002】
【従来の技術】オーグル(Ogle)への米国特許4,948,45
8 号は、広い圧力範囲で動作しうる平面プラズマを発生
する装置について述べている。この装置は、チャンバの
1つの壁に絶縁窓(dielectric window )またはシール
ド、チャンバ外の絶縁シールドの近くに配置された平面
コイル、及びコイルに結合されたRF源を有する真空チ
ャンバである。チャンバは、チャンバにプラズマ先行ガ
スを導入するためのポート、及び処理される基板の出し
入れのためのポートも備えており、基板を絶縁窓に対し
て平行に支持する支持部も備えている。RF電流がコイ
ルに付与されたとき変化する磁場が誘導され、この磁場
は、絶縁シールドを通ってチャンバ内に広がり、チャン
バの処理領域内に電子の回転流れを誘導する。この誘導
された電子の回転場は、実質的に、平面コイルに平行な
面内に存在し、平面方向でない方向の運動エネルギの移
動を小さくする。エッチングされる基板はプラズマ面の
方向にも設けられ、このため、処理される間の、帯電し
た粒子の、基板に対して平面方向でない方向の速度成分
は最小にされ、基板の処理は、RFバイアスが接地され
た電極またはチャンバに対して基板に付与される場合を
除いて、一般に基板に対するプラズマ種の化学的作用に
限られる。米国特許4,948,458 号の全開示は、引用形式
で組み込まれる。
【0003】上記プラズマ反応器は、アルミニウムのよ
うな材料をエッチングするのに有用であるが、半導体デ
バイスの製造において要求されるシリコン酸化物のよう
な酸化物のエッチングに関しては限界がある。例えば、
シリコン酸化物の薄膜及び層は、シリコン、金属層、シ
リコン窒化物等を含むシリコンデバイスの製造中に種々
の基板に適用される。典型的には、フォトレジストはシ
リコン酸化物層上に蒸着され(is deposited)、エッチ
ングされ、パターンが形成される。シリコン酸化物は、
CF4 、C2 6 、C3 8 、CHF3 等のようなフッ
化炭化水素(fluorohydrocarbon )ガスによってエッチ
ングされる。例えば、ポリシリコン上のシリコン酸化物
層内の開口部(via )はエッチングされた後、その開口
部は導体によって満たされ、下層のポリシリコンとシリ
コン酸化物上の導体層との間の接点となる。もっと小さ
くて深い開口部を満たすためには、エッチングプロセス
にはいくつかの厳しい要求がある。すなわち、開口部の
側壁は真っ直ぐでなければならず(異方性(anisotropi
c )のエッチング)、エッチングは上層のフォトレジス
ト層及び下層の材料に対して選択的でなければならな
い。換言すれば、エッチングプロセスはシリコン酸化物
層を少なくとも上層及び下層をエッチングする速度より
も速くエッチングしなければならず、好ましくは、この
選択性は約10:1よりも高くなければならない。他の
半導体デバイス及びアレイには、大きな形状の部分(fe
atures)や小さな形状の部分が存在するが、同じ速度で
エッチングされなければならない。このためには、同じ
材料、例えばシリコン酸化物の大きな形状の部分と小さ
な形状の部分が同じ速度で、すなわち微小負荷(microl
oading)なしにエッチングされることが必要である。こ
こでの目的に対する微小負荷は
【0004】
【数1】
【0005】で定義される。さらに、シリコン酸化物層
は一般に非常に厚いため、エッチング速度が高いことも
好ましい。特に、単一ウエハ処理ないし枚葉式ウエハ処
理(バッチ型処理に対立するもの)がなされているとき
に、高いスループットを達成するためには好ましい。
【0006】
【発明が解決しようとする課題】前記米国特許4,948,45
8 号に開示された公知のエッチング反応器は、導体の金
属層をエッチングするには有用であるが、シリコン酸化
物のような酸化物をエッチングする際の上記要求は満た
すことができない。一般に、シリコン酸化物は、上記の
ようにフッ素を含むエッチガスによってエッチングされ
る。シリコン酸化物は、高いC:F比のガスを用いたと
きには、悪い選択性でエッチングされる。水素を含むガ
スは選択性を高めるが、エッチング速度が犠牲にされ、
テーパ形状や微小負荷となる。従って、単にエッチガス
の炭素:フッ素比を増やすことや、ガスの流速を増やす
ことは、側壁のテーパを増大し、微小負荷を増加させ、
エッチング速度を低下させる。付加されるフッ化炭化水
素のエッチガスは、基板に堆積する粒子となりうる重合
体(polymeric solids)を形成し、エッチングプロセス
において基板を汚染する原因となる。
【0007】従って、上記の電磁的に結合された平面プ
ラズマ装置において、酸化物膜や層のエッチングを改良
するための方法が、強く望まれている。
【0008】
【課題を解決するための手段】我々は、フッ素補集材を
電磁的に結合された平面プラズマ装置に加えることが、
酸化物のエッチングの選択性に関して、フッ化炭化水素
のエッチング液を有する酸化物のエッチングを改善する
こと、異方性及びエッチング速度を改善することを発見
した。
【0009】この発明の装置は、平面プラズマを生成す
るものであって、壁面にアクセスポートを有するチャン
バと、アクセスポートをシールする絶縁窓と、チャンバ
に供給されるプラズマ先行ガスのためのポートと、処理
される基板をチャンバに対して出し入れするためのポー
トと、アクセスポートにほぼ平行な基板支持部と、チャ
ンバの外で絶縁窓の近くに配置された電導体の平面コイ
ルと、高周波源をコイルに結合する手段とを備え、チャ
ンバ内で発生したプラズマの中または近傍にフッ素補集
材が設けられている。
【0010】また、この発明の方法は、酸素を含む層を
有する基板を処理するための方法であって、絶縁シール
ドを備えた真空チャンバ内に基板を取り付けて、基板を
シールドに本質的に平行にするステップ、基板に高周波
数のバイアスを適用するステップ、チャンバにフッ化炭
化水素のエッチガスを導入するステップ、チャンバの外
で絶縁窓の近くに配置された実質的に平面のコイルに高
周波数の電流を付与することにより、ガスからプラズマ
を形成するステップ、及びチャンバにフッ素補集材を導
入することにより、酸素を含む層を基板上の酸素を含ま
ない層に対して選択的にエッチングするステップを含
む。
【0011】
【実施例】図1を参照しながらこの発明をさらに説明す
る。単一の半導体ウエハをエッチングするのに適するプ
ラズマ処理装置10は、真空チャンバ12を含んでお
り、チャンバ12の上壁16にアクセスポート14を有
している。絶縁シールド18が上壁16の下方に配置さ
れており、アクセスポート14を横切って延びている。
絶縁シールド18は上壁16に対するシールとなり、真
空をシール可能なチャンバ12を構成する。チャンバ1
2は、チャンバ12にプラズマ先行(precursor )ガス
を導入するためのポート17も有している。
【0012】平面コイル20は、絶縁シールド18に隣
接したアクセスポート14内に配置されている。コイル
20は、中央タップ22及び外タップ24を有する螺旋
状に形成されている。コイル20の平面は、絶縁シール
ド18と、チャンバ12内で処理されるウエハ15の支
持部13との両方に平行になっている。従って、コイル
20は、チャンバ12内でウエハに平行な平面プラズマ
を発生することができる。コイル20と支持面13との
距離は、通常約3〜15cmの範囲にあり、調節可能で
ある。シリコン体26として示されているフッ素の補集
材(scavenger)が、支持面13と絶縁シールド18の
近傍との間に配置されている。従って、フッ素補集材
は、生成されたプラズマの中または近くにある。
【0013】ここで、図1及び2を参照すると、平面コ
イル20は、約100kHzから100MHzの範囲の
周波数、好ましくは約13.56MHz以下で動作でき
るタイプのRF発振器(generator )30によって駆動
される。発振器30の出力は同軸ケーブル32によって
マッチング回路34に供給される。マッチング回路34
は1次コイル36及び2次ループ38を含む。1次コイ
ル36及び2次ループ38は、回路を効果的にカップリ
ングし、動作周波数における回路の負荷となるように配
置される。1次コイル36は、カップリングを調節する
ために垂直軸42の回りを回転しうるディスク40上に
取り付けられていてもよい。可変容量44が、回路の共
振周波数をRF発振器30の出力周波数に調節するため
に、2次ループ38に直列にされている。インピーダン
スのマッチングをとることは、平面コイル20への電力
伝達効率を最大にする。付加容量46が、回路のコイル
36の誘導リアクタンスの部分を打ち消すために、1次
回路に備えられていてもよい。
【0014】RFバイアス電力は、チャンバ12内の酸
化物をエッチングするときには、基板支持部13を介し
て基板に印加できる。第2のRF信号がRFバイアス3
0から通されてもよいし、分離したRF源(図示せず)
が基板支持部13に接続されていてもよい。チャンバ1
2の壁面は、その場合、接地電極として働く。別の方法
は、平面コイルか、シリコンまたは他の固体フッ素補集
体を対抗する電極として用いるものである。RFバイア
ス電力は基板のシース電圧を制御する。
【0015】ここで図3に示すように、平面コイル20
は、絶縁シールド18を貫き、破線で示すような強度プ
ロフィール60を有する電磁場を誘導する。均一な電磁
場は、電磁場領域内に電子の均一な回転場(circulatin
g field )を提供し、その電子は先行(precursor )ガ
ス分子に衝突し、プラズマ領域内にプラズマ種(plasma
species)を創製する。RFバイアス電力により意識的
に発生させられるもの以外では、平面方向でない方向の
基板に対する衝突は少ないかまたは無いため、反応的な
プラズマ種がウエハに与えるダメージは少ない。
【0016】フッ素補集材は、それが固体であるときに
は、エッチングされる面の上方に平行に配置されていな
ければならず、最大効率を達成し、直径200mmのシ
リコンウエハのような大きな基板について良い均一性を
得るためには、絶縁シールドに隣接して配置されていな
ければならない。それが、シリコン板26のような固体
であるとき、フッ素補集材は、絶縁シールドを通って生
成されるRF誘導場に干渉しないような配置と大きさで
なければならない。これは、固体の厚さ、温度の関数で
ある固有抵抗、及びコイルに誘導的にカップリングされ
たRF電力の周波数を考慮することによってなされる。
RF電力の周波数及び固体の厚さ及び固有抵抗は、固体
が機能する最高温度において、固有抵抗が最低になると
きに、フッ素補集材のRF電磁場の表皮深さ(skin dep
th)が固体の厚さに比べて大きくなるように選ばれなけ
ればならない。典型的に、これには13.56MHzよ
り低い低周波数の動作と、例えば数mmより薄いシリコ
ン板とが要求される。固体の補集源の固有抵抗も、例え
ばドープされたシリコン板を用いることにより変化させ
うる。なぜなら、ドーパントは、セッ氏数百度までの動
作温度においてシリコンの固有抵抗を下げるからであ
る。プロセスを安定にするために、補集源の温度制御が
されていてもよい。
【0017】このプロセスにおいては、フッ化炭化水素
(fluorohydrocarbon )の先行ガスがプラズマ発生領域
にさらされたときに、F、CF及びCF2 基(radical
)を含む種々の破片(fragments )が発生する。遊離
したフッ素は、シリコン酸化物のような酸化物をエッチ
ングするが、他の種は、一般に約50%のフッ素を含む
C−F重合体を形成し、これはエッチングされた開口部
(via )の側壁に堆積し、下層及び上層がエッチングさ
れるのを防止するようにも機能する。しかし、この重合
体は、シリコン酸化物から生成される酸素や遊離したフ
ッ素によっても攻撃され、従って、シリコン酸化物とエ
ッチングされる基板上の他の物質と間の選択性は高くな
い。しかし、シリコン源のようなフッ素の補集材がプラ
ズマ中に設けられたとき、その補集材は遊離したフッ素
を捕えるため、遊離したフッ素による基板の攻撃は弱め
られる。さらに、プラズマ中に存在する遊離したフッ素
基がもっと少ないときには、保護重合体は炭素含有量の
多いもの、例えば重量で約40%しかフッ素を含まない
ものになる。
【0018】フッ素の補集材は、プラズマ中または近傍
の固体シリコン例えばシリコン板の形で最も容易に提供
される。しかし、プラズマ先行ガスに加えられたシラン
や他のシリコンを含むガス(TEOS、ジエチルシラ
ン、4フッ化シラン等)のような他のシリコン源も用い
うる。炭素の化合物もフッ素の補集材として好適であ
る。例えば、ベンゼン(C6 6 )やアセチレン(C2
2 )のような炭素含有量の多いガスをプラズマ先行ガ
スに加えることができる。グラファイトやシリコンカー
バイドのような炭素を含む固体化合物も用いることがで
き、シリコン板と取り替えうる。また、炭素を含む物の
厚さは、適応されたRF電力の使用温度における表皮深
さと比べて薄くなければならない。フッ素補集源がプラ
ズマ領域外に配置されているときには、遊離したシリコ
ンや炭素原子をプラズマ中に渡せる温度まで加熱されう
る。このような場合、シリコンや炭素源を加熱する手段
も設けうる。固体の形状は、例えば、板状でもリング状
でも円筒状でもよい。
【0019】この発明を特定の実施例に関して説明して
きたが、この発明がそれに限定されることは意味しな
い。例えば、絶縁窓と基板との間の空間が大きいなら、
反応性のプラズマ種のチャンバの他の部分への拡散が起
こるように、フッ素補集材は、基板の回りへのリング状
の配置や絶縁窓の端部への配置のような、他の配置であ
ってもよい。このような場合、RF誘導場は固体フッ素
補集材を貫く必要がなくなり、上記のような、固体フッ
素補集材に対する大きなRF表皮深さが必要でなくな
る。配置及び材料の他の変形は、当業者には自明のもの
であり、ここに含まれるべきものである。この発明は特
許請求の範囲によってのみ限定される。
【0020】
【発明の効果】以上のように、この発明によれば、シリ
コン酸化物がフッ化炭化水素ガスのプラズマによってエ
ッチングされたとき、フッ素補集材が遊離したフッ素基
を減少させ、エッチングの選択性及び異方性が改善さ
れ、粒子の形成を減少させつつエッチング速度が改善さ
れる。
【図面の簡単な説明】
【図1】この発明の装置の断面図である。
【図2】図1の装置の回路図である。
【図3】図1の装置によって生成される電磁場の形状を
示す図である。
【符号の説明】
12…真空チャンバ、13…支持部、14…アクセスポ
ート、15…ウエハ、18…絶縁シールド、20…平面
コイル、26…フッ素補集材、30…RF発振器。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェフリー マークス アメリカ合衆国, カリフォルニア州 94087, サニーヴェール, クレセン ト アヴェニュー ナンバー21 455 (56)参考文献 特開 平4−334022(JP,A) 特開 平2−229431(JP,A) 特開 平3−276626(JP,A) 特開 昭58−53833(JP,A) 欧州特許出願公開379828(EP,A 1) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 B01J 19/08 C23F 4/00

Claims (17)

    (57)【特許請求の範囲】
  1. 【請求項1】 平面プラズマを生成する装置であって、
    チャンバと、該チャンバの一端に設けられている絶縁窓
    と、前記チャンバに供給されるプラズマ先行ガスのため
    アクセスポートと、前記チャンバ内に設けられ前記ア
    クセスポートに略平行な基板支持部と、前記チャンバの
    外で前記絶縁窓の近くに配置された電導体の平面コイル
    と、高周波源を前記コイルに結合する手段とを備え、前
    記チャンバ内で発生したプラズマの中または近傍に固体
    フッ素補集材が設けられ、 RF電力の周波数及び前記固体フッ素補集材の厚さ及び
    固有抵抗は、前記固体フッ素補集材が機能する最高温度
    において、固有抵抗が最低になるときに、前記固体フッ
    素補集材のRF電磁場の表皮深さが固体フッ素補集材の
    厚さに比べて大きくなるように選択されていることを特
    徴とする、前記装置。
  2. 【請求項2】 前記フッ素補集材がシリコン単体または
    シリコン化合物である請求項1に記載の装置。
  3. 【請求項3】 前記フッ素補集材が、前記絶縁窓と前記
    基板支持部との間に取り付けられ、それにほぼ平行のシ
    リコン単体である請求項の装置。
  4. 【請求項4】 シリコン化合物体(an article of a sil
    icon compound)が、前記絶縁窓と前記基板支持部との
    間に取り付けられ、それらにほぼ平行の請求項1に記載
    の装置。
  5. 【請求項5】 シリコン源が、基板の周辺を覆うシリコ
    ン炭化物のリングである請求項の装置。
  6. 【請求項6】 前記フッ素補集材がグラファイトのリン
    グまたは円柱である請求項1に記載の装置。
  7. 【請求項7】 第2の高周波源が前記基板支持部に接続
    された請求項1に記載の装置。
  8. 【請求項8】 酸素を含む層を上部に有する基板を処理
    するための方法であって、絶縁を備えた真空チャンバ
    内に前記基板を取り付けて、前記基板を前記絶縁窓に対
    し本質的に平行にするステップ、前記基板に高周波数の
    バイアスを印加するステップ、前記チャンバにフッ化炭
    化水素のエッチガスを導入するステップ、前記チャンバ
    の外で前記絶縁窓の近くに配置された実質的に平面のコ
    イルに高周波数の電流を印加することにより、前記ガス
    からプラズマを形成するステップ、及び前記チャンバに
    固体フッ素補集材を導入することにより、酸素を含む層
    を前記基板上の酸素を含まない層に対して選択的にエッ
    チングするステップを含み、 RF電力の周波数及び前記固体フッ素補集材の厚さ及び
    固有抵抗は、前記固体フッ素補集材が機能する最高温度
    において、固有抵抗が最低になるときに、前記固体フッ
    素補集材のRF電磁場の表皮深さが固体フッ素補集材の
    厚さに比べて大きくなるように選択されることを特徴と
    する、前記方法。
  9. 【請求項9】 前記フッ素補集材が固体シリコンであ
    る請求項に記載の方法。
  10. 【請求項10】 前記固体シリコンが、前記基板と前記
    絶縁との間に取り付けられ、それらに実質的に平行で
    ある請求項の方法。
  11. 【請求項11】 前記フッ素補集材がシリコンを含む化
    合物である請求項に記載の方法。
  12. 【請求項12】 前記フッ素補集材がシリコン炭化物の
    板である請求項に記載の方法。
  13. 【請求項13】 前記シリコン含有化合物がシリコン含
    有ガスである請求項11の方法。
  14. 【請求項14】 前記フッ素補集材が炭素含有化合物で
    ある請求項に記載の方法。
  15. 【請求項15】 前記フッ素補集材が炭素含有量の多い
    ガス(carbon-richgas )である請求項14の方法。
  16. 【請求項16】 前記炭素含有量の多いガスが、ベンゼ
    ン及びアセチレンからなるグループから選ばれたもので
    ある請求項15の方法。
  17. 【請求項17】 前記炭素含有化合物が、グラファイト
    である請求項14の方法。
JP30196793A 1992-12-01 1993-12-01 電磁的に結合された平面プラズマ装置内の酸化物をエッチングするための改良されたプロセス Expired - Fee Related JP3422540B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98404592A 1992-12-01 1992-12-01
US07/984045 1992-12-01

Publications (2)

Publication Number Publication Date
JPH06283473A JPH06283473A (ja) 1994-10-07
JP3422540B2 true JP3422540B2 (ja) 2003-06-30

Family

ID=25530259

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30196793A Expired - Fee Related JP3422540B2 (ja) 1992-12-01 1993-12-01 電磁的に結合された平面プラズマ装置内の酸化物をエッチングするための改良されたプロセス

Country Status (6)

Country Link
US (1) US6217785B1 (ja)
EP (2) EP0601468B1 (ja)
JP (1) JP3422540B2 (ja)
KR (1) KR100281345B1 (ja)
DE (2) DE69332176T2 (ja)
ES (1) ES2113464T3 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US20010054601A1 (en) 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US6214740B1 (en) 1996-01-26 2001-04-10 Matsushita Electronics Corporation Semiconductor manufacturing apparatus
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
DE19606375A1 (de) * 1996-02-21 1997-08-28 Balzers Prozes Systeme Gmbh Plasmaquelle mit eingekoppelten Whistler- oder Helikonwellen
US5951773A (en) * 1996-03-18 1999-09-14 Hyundai Electronics Industries Co., Ltd. Inductively coupled plasma chemical vapor deposition apparatus
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
EP0838839B1 (en) * 1996-09-27 2008-05-21 Surface Technology Systems Plc Plasma processing apparatus
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
DE10045793C2 (de) 2000-09-15 2002-07-18 Zeiss Carl Verfahren zum Strukturieren eines Substrats
US6899785B2 (en) * 2001-11-05 2005-05-31 International Business Machines Corporation Method of stabilizing oxide etch and chamber performance using seasoning
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5400434B2 (ja) * 2009-03-11 2014-01-29 株式会社イー・エム・ディー プラズマ処理装置
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
CN112023844A (zh) * 2020-08-12 2020-12-04 陕西科技大学 一种用于材料制备的水热感应加热法及其制备系统
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
JPS6020163A (ja) * 1983-07-14 1985-02-01 Mitsubishi Electric Corp 合成開口レ−ダ
JPS60201632A (ja) 1984-03-27 1985-10-12 Anelva Corp ドライエツチング装置
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4675073A (en) 1986-03-07 1987-06-23 Texas Instruments Incorporated Tin etch process
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
US4756810A (en) 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JP2619395B2 (ja) * 1987-07-10 1997-06-11 株式会社日立製作所 プラズマ処理方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride

Also Published As

Publication number Publication date
US6217785B1 (en) 2001-04-17
KR940014908A (ko) 1994-07-19
DE69332176T2 (de) 2003-04-03
DE69332176D1 (de) 2002-09-05
KR100281345B1 (ko) 2001-03-02
DE69317518D1 (de) 1998-04-23
EP0601468A1 (en) 1994-06-15
ES2113464T3 (es) 1998-05-01
EP0802560A1 (en) 1997-10-22
EP0601468B1 (en) 1998-03-18
JPH06283473A (ja) 1994-10-07
DE69317518T2 (de) 1998-10-29
EP0802560B1 (en) 2002-07-31

Similar Documents

Publication Publication Date Title
JP3422540B2 (ja) 電磁的に結合された平面プラズマ装置内の酸化物をエッチングするための改良されたプロセス
US5772832A (en) Process for etching oxides in an electromagnetically coupled planar plasma apparatus
JP6484665B2 (ja) 高アスペクト比フィーチャをエッチングするための多周波電力変調
EP0179665B1 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US6524432B1 (en) Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3987131B2 (ja) 誘導増強された反応性イオンエッチング
KR100274306B1 (ko) 에칭방법
US6077384A (en) Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6444084B1 (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5266154A (en) Dry etching method
JPH0982495A (ja) プラズマ生成装置およびプラズマ生成方法
EP0289131B1 (en) Method of dry etching aluminum
JP2603217B2 (ja) 表面処理方法及び表面処理装置
JPH0740569B2 (ja) Ecrプラズマ堆積方法
JPH05308062A (ja) ドライエッチング方法
KR100413894B1 (ko) 플라즈마에칭방법
US6090303A (en) Process for etching oxides in an electromagnetically coupled planar plasma apparatus
JP4052454B2 (ja) 酸化シリコン膜又は窒化シリコン膜の製造方法
WO2002052628A1 (fr) Procede et appareil de traitement au plasma
US20180226252A1 (en) Method for Planarizing Graphene Layer
JP3042208B2 (ja) マイクロ波プラズマ処理装置
US6528865B1 (en) Thin amorphous fluorocarbon films
EP1045433B1 (en) Boron containing fluorocarbon film and method for forming the same
JP2000164563A (ja) プラズマ処理装置
JPH0758087A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030317

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees