JP3176305B2 - 基板冷却装置及び化学蒸気反応装置並びに基板の温度制御制御方法 - Google Patents

基板冷却装置及び化学蒸気反応装置並びに基板の温度制御制御方法

Info

Publication number
JP3176305B2
JP3176305B2 JP3230097A JP3230097A JP3176305B2 JP 3176305 B2 JP3176305 B2 JP 3176305B2 JP 3230097 A JP3230097 A JP 3230097A JP 3230097 A JP3230097 A JP 3230097A JP 3176305 B2 JP3176305 B2 JP 3176305B2
Authority
JP
Japan
Prior art keywords
substrate
gas
cooling
board
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3230097A
Other languages
English (en)
Other versions
JPH09232415A (ja
Inventor
トーマス・ダブリュ・マウントシアー
ジェイムズ・ウィング
Original Assignee
ノベラス・システムズ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ノベラス・システムズ・インコーポレイテッド filed Critical ノベラス・システムズ・インコーポレイテッド
Publication of JPH09232415A publication Critical patent/JPH09232415A/ja
Application granted granted Critical
Publication of JP3176305B2 publication Critical patent/JP3176305B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、処理過程中におい
てウェハなどの基板から熱を除去する装置に関する。
【0002】
【従来の技術】プラズマ処理過程を最適化するために、
高出力・低圧のRF及び/またはマイクロ波プラズマが
益々用いられるようになってきた。このRF電力の多く
の部分は基板、通常はシリコンウェハに伝達され、基板
を加熱する。低圧下においては、通常は5ミリトル付近
の圧力下においては、ウェハを冷却するための主な熱伝
達機構は、熱放射であり、これは非常に非効率的なもの
である。そのため、ウェハは非常に高温となり、しばし
ば450℃以上の温度となることがある。しかし、多く
の処理過程では、ウェハを低い温度、例えば400℃以
下に保つことが望ましい。
【0003】ラモン・ジュニア(Lanont, J
r.)による米国特許4,743,570号明細書に
は、排気された環境内におけるウェハの熱的な取り扱い
方法が開示されている。ウェハは所定の位置に保持さ
れ、ウェハと加熱シンクとの間の空間に約100μmか
ら1000μmの圧力のガスが導入される。この方法は
低圧力用に適しているが、例えば150Wもしくはそれ
以下の高い入力電力によってウェハが過度に加熱される
ことになる。更に、熱を伝達するために用いられるガス
と真空チャンバとの圧力差によって、好ましくないウェ
ハの機械的な変形が引き起こされる。
【0004】最近では、静電チャック(ESCと呼ぶ)
が、プラズマ処理過程における温度制御装置としてより
多くの注目を浴びている。ESCでは、ウェハは図1に
例示されているように静電力によって所定の位置に保持
される。ウェハ6は絶縁層4によって電極2と分離され
ている。電圧(図1では正の電圧)が、電源14によっ
て電極2に印加されている。電極に印加された電圧によ
って、絶縁層4の接触面10に電荷(図では「−」とし
て表されている)が発生し、この電荷によって、ウェハ
6の接触面12には等量異符号の電荷(「+」によって
表されている)が発生する。接触面10と12に発生し
た電荷によって、この接触面10と12との間に静電力
が生み出される。この静電力はウェハ6を絶縁層4に対
して保持する。次に、ウェハ6に伝達された熱は、通常
冷却水によって冷却された絶縁層4に接触による熱伝導
によって伝達される。
【0005】図1に例示されたESCは、ウェハ6との
間で電気的な接続が形成される単極型のESCである。
ブリギリア(Briglia)による米国特許第4,1
84,188号明細書に開示されているような双極型の
ESCでは、互いに向かい合って噛合うするように配置
された異なる極性を有する電極が、ウェハ6を保持する
めの静電力を生み出すために用いられており、ウェハ6
との電気的な接触の必要性が除去されている。
【0006】このESCは、ESCの表面とウェハの裏
側面との間の接触が比較的均一であるので、ウェハを機
械的に保持する従来のウェハホルダに比べて優れてい
る。この均一な接触によって、ウェハの温度が入力電力
の関数となっているウェハ全体での比較的均一な温度分
布を生み出す。しかし、ウェハの処理過程中において製
造業者の望む様々な処理条件に応じてウェハの温度を調
節することができる能力が望まれている。
【0007】
【発明の解決しようとする課題】本発明の目的は、基板
全体での比較的均一な温度分布を生み出し、基板の温度
を調節することができる基板冷却装置を提供することで
ある。
【0008】
【課題を解決するための手段】本発明に基づけば、ウェ
ハ冷却装置(WCD)が、処理過程中に、基板、通常は
ウェハから熱を除去するために用いられる。このして、
熱伝達係数が、従って基板の温度が制御される。
【0009】WCDは、ウェハが取り付けられる熱伝達
面を有する。ウェハは、当業者にはよく知られている方
法によって静電力を用いてWCDに取り付けられてい
る。代わりに、ウェハは機械的に、例えばクランプリン
グを用いて取り付けられてもよい。
【0010】水素、ヘリウム、アルゴン、もしくは窒素
などのガスが、WCDの熱伝達面とウェハとの間に形成
されたキャビティ内に導入される。
【0011】WCDは、更に、金属製の冷却盤に接続さ
れた金属製の支持盤に接続されたセラミック製のセラミ
ック盤を含む。ウェハはこのセラミック盤の露出された
表面に取り付けられており、この露出された面はWCD
の熱伝達面である。金属製の冷却盤は冷却水と接触する
ことによって冷却されている。セラミック盤と金属製の
支持盤との間の接触する部分の熱伝導率は、熱伝導性ペ
ーストを用いて強化されている。同様に、金属製の支持
盤と金属製の冷却盤の接触する部分の熱伝導率は、熱伝
導性ペーストを用いて強化されている。他の実施例で
は、金属製の冷却盤は、セラミック板にろうづけされも
しくは溶接されており、セラミック盤と冷却盤の組み立
て体は、金属製の支持盤内に形成されたキャビティ内に
ボルトによって取り付けられている。WCDの熱伝達面
とウェハとの間の接触部分の面積を減少させるために、
WCD熱伝達面の一部に凹部が設けられている。WCD
のその他の熱伝達面は、粗面化されている。これによ
り、ウェハとWCDの直接の接触による熱伝導が低減さ
れる。即ち、熱伝達機構の主要な部分は、ウェハとWC
Dとの間のガスによって行われるものであり、従ってガ
スの圧力が、熱伝達係数を決定し、基板の温度を決定す
る。ガス分配溝がWCDの熱伝達面に設けられており、
ガスの漏洩の原因となるウェハとWCDとの間でのガス
の圧力の変化を減少させている。熱伝達係数、及びウェ
ハの温度は、主にガスの圧力に応じて変化するので、ガ
スの圧力を均一にすることによりウェハでの温度のばら
つきが低減される。
【0012】ある実施例では、ガス分配溝は三角形のパ
ターンに配列されている。他の実施例では、ガス分配溝
は斜交平行線のパターンに配列されている。更に他の実
施例ではガス分配溝はWCDの熱伝達面の中心から外側
に向けて放射状に延在するように設けられている。更に
他の実施例では、WCDの中心に設けられた亀甲型のパ
ターンから外向きに放射状に延在するように設けられて
いる。
【0013】これら全ての実施例では、1つもしくは複
数の電極がセラミック盤内に設けられている。DC(直
流)電圧が電極に印加され、ウェハをWCDに固定する
静電力を生み出す。更に、RF電源が電極に加えられ、
更に金属製の支持盤に加えられる。例えば、WCDに取
り付けられたウェハに向けてイオンを加速するためにR
F電力が供給され、ウェハがスパッタリング法によって
エッチングされることが望ましい場合もある。
【0014】
【発明の実施の形態】本出願は、1992年11月4日
に出願された米国特許出願第07/971,363号
(1994年9月13日に付与された米国特許第5,3
46,578号)と、本出願の優先権の基礎とされた米
国特許出願と同時に出願された米国特許出願第08/6
02,641号に関連する出願である。
【0015】図2には、本発明に基づくウェハ冷却装置
(以下WCDと呼ぶ)48の分解斜視図が表されてい
る。WCD48は、セラミック盤52と、熱伝導性ペー
ストの第1の層54と、(アルミニウム製の)金属製支
持盤56と、熱伝導性ペーストの第2の層58と、真鍮
製の金属製冷却盤60とを含む。熱伝導性の第1の層5
4は、セラミック盤52と金属製支持盤56との間の熱
伝導性を高める。同様に、熱伝導性ペーストの第2の層
58は、金属製支持盤56と金属製冷却盤60との間の
熱伝導性を高める。
【0016】Oリング55及び59は、セラミック盤5
2と金属製支持盤56との間のシールを形成し、熱伝導
性ペーストの第1の層54を真空の処理環境から隔離す
る。Oリング57は、金属製支持盤56とセラミック製
のインシュレータ49(その断面図が図4に例示されて
いる)との間の真空シールを形成するために用いられて
いる。第1及び第2の層54及び58は、各々、熱伝導
性ペーストからなり、「Omega Engineer
ing,Inc.」が製造した製品名「OMEGATH
ERM 201」が用いられているが、任意の同様な熱
伝導性ペーストが用いられてもよい。
【0017】層52、54、56、58及び60のおよ
その幅は、各々、6.70mm、0.13mm、6.3
5mm、0.13mm、及び3.18mmである。
【0018】セラミック盤52は、約90%の酸化アル
ミニウム、若しくは窒化アルミニウムからなり、テープ
鋳造法(Tape casting method)を
用いて「Toto」、「NGK」、「Fujits
u」、及び「Kyocera」から製造販売されてい
る。電極は、セラミック盤52内にスクリーンプリンテ
ィング法を用いて形成されている。DC電圧は、2つの
接続ピン28を用いて電極に印加されており、ウェハを
WCDに固定する静電力が形成される。セラミック盤5
2はまた、3つの凹部53(リフトピンを収容するため
の)を有する。セラミック盤52の製造方法及びセラミ
ック盤52内に形成された電極の製造方法は、ウェハリ
フトピンの利用方法と同様に当業者にはよく知られたも
のである。
【0019】上述された種類のパターン化された電極を
形成する方法は、ブリグリア(Briglia)による
米国特許第4,184,188号明細書に開示されてい
る。
【0020】所望に応じて、RF電力がセラミック盤5
2内の電極と金属製支持盤56とに供給される。例え
ば、WCDに取り付けられたウェハに向けてイオンを加
速し、ウェハをスパッタによってエッチングする場合、
RF電力が供給されることが望ましい。RF電力は、接
続ピン28を用いてセラミック盤52内の電極に供給さ
れる。図示されているように、2つの接続ピン30が、
金属製指示盤56にRF電力を供給するために用いられ
ている。
【0021】セラミック盤52は、金属製支持盤56を
貫通する6本のボルト24によって金属製支持盤56に
取り付けられており、この6本のボルト24は、セラミ
ック盤52の底面にろう付けされた金属製のねじ切りさ
れたインサート(図示されていない)に螺合されてい
る。各ボルト24にはワッシャ20とスプリングワッシ
ャ22とが用いられている。スプリングワッシャ22
は、任意の伸張及び収縮を補うためのばねとして働いて
いる。金属製冷却盤60は、金属製冷却盤60を貫通す
る6本のボルト26を用いて、金属製支持盤56内に形
成された空間内でボルトによって取り付けられており、
これら6本のボルト26は金属製支持盤56に螺合され
ている。
【0022】金属製冷却盤60の斜視図が図3に表され
ている。図示されているように金属製冷却盤60は入口
102と出口104とを備えた冷却水用溝106を有す
る。使用中に、冷却水が入口102から流入し、冷却水
用溝106を通って出口104から流出する。
【0023】図4は、WCD48が取り付けられたユニ
ット100の側断面図である。図示されているように、
冷却水は第1の冷却水管102′を通って入口102へ
供給され、第2の冷却水管104′によって出口104
から排出されるが、これは当業者にはよく知られた方法
において行われる。ガス入口管110もまた図示されて
いる。セラミック盤52と金属製支持盤56が、この中
心に近い部分にガス入口管110を貫通させるための開
口部を有する。セラミック盤52,Oリング55、金属
製支持盤56、Oリング57、金属製冷却盤60、及び
接続ピン30は図2に例示されている。
【0024】図5は、WCD48の一部分の側断面図で
ある。ウェハ62が、セラミック盤52に取り付けら
れ、ウェハとWCDとの境界面50も形成されている。
例えば、ウェハ62はシリコン、ガリウム砒素若しくは
セラミックから形成されている。ウェハは、当業者によ
く知られた方法によって静電力を用いてWCDに取り付
けられている。代わりに、ウェハは例えばクランプリン
グを用いて機械的に取り付けられてもよい。図示されて
いるように、WCD48の接触面82の一部は窪んだ形
状を有する。従って、ウェハ62の接触面80は、接触
面82の突出した表面のみでWCD48と接触する。従
って、ウェハとWCDとの間の空隙68が、ウェハとW
CDの境界面50に形成されている。空隙68はガス
で、例えばヘリウム、水素、アルゴン若しくは窒素ガス
などで満たされる。
【0025】図6は、ウェハとWCDとの境界面50の
突出した表面の1つの拡大断面図(図5の64)を表し
ている。図6に表されているように、ウェハとWCDと
の境界面50において、ウェハ62の接触面80とセラ
ミック盤52の接触面82とは完全には平坦化されてい
ないので、ウェハ62とセラミック盤52との間の微少
な点において接触する(強調されて描かれている)。
【0026】WCD48は、ウェハ62の処理が行われ
る真空チャンバ内に取り付けられている。ウェハ62の
処理過程の間、入力電力によってウェハ62が加熱され
る。入力電力は、例えばウェハのスパッタリングによ
り、若しくはプラズマ化学蒸着法によってウェハに供給
される。この入力熱量は、図5においてQinとして表
されている。入力熱量Qinは、ウェハ62から熱伝達
経路に沿ってウェハとWCDとの間の境界面50を通
り、セラミック盤52を通り、第1の熱的導電性ペース
ト層54と、金属製支持盤56と、第2の熱的導電性ペ
ースト層58とを通り、最後に金属製冷却盤60を通
る。熱(Qoutとして図示されている)は、第3図を
参照しながら上述されたように、金属製冷却盤60に接
触してこの金属製冷却盤を冷却する冷却水によって金属
製冷却盤60から除去される。
【0027】図5には、ウェハとWCDとの境界面50
を通る熱伝導の2つの主な経路が表されている。第1の
経路は、ガス熱伝導と以下の本明細書中で呼ばれる空隙
68のガスを通過する熱伝導によるものである。第2の
経路は、以下の本明細書中で接触熱伝導と呼ばれる、ウ
ェハとWCDの境界面50における接触面80及び82
の間の微少な接触点を介して直接行われる熱伝導による
ものである。
【0028】これら2つの熱伝導の経路は以下に別個に
説明される。第1のガス熱伝導は、接触面80と82と
の間に全く接触熱伝導がない、即ち直接的な接触部分が
ない場合を仮定して説明される。図7は、接触面80と
82との間に直接的な接触部分がない仮想的なウェハと
WCDとの組立体を表している。ウェハとWCDの境界
面50に直接的な接触がないので、接触熱伝導は存在し
ない。即ち、ウェハとWCDの境界面50(図7)を通
過する全ての熱は、ガス熱伝導によって空隙68を介し
て行われる。
【0029】図7に例示されたウェハとWCD組立体の
全体の熱伝達係数h0は、まず始めに熱伝達経路内の各
層での熱伝達係数を算出することによって算出される。
算出されかつ測定された熱伝達係数が、表1に表されて
おり、この表1において、支持盤56はアルミニウム製
であり、冷却盤60は真鍮製であり、空隙68は圧力1
0トルのヘリウムガスが満たされている。
【0030】
【表1】
【0031】任意の層(i)の熱伝達係数は以下の式に
よって定義される。
【0032】Qi=hi×Ai×ΔTi (1) ここでQiは伝達された熱であり、hiは熱伝達係数で
あり、Aiは伝達された熱の通過した面積であり、ΔT
i熱が伝達された部分の温度差である。
【0033】全体の熱伝達係数h0(全ての層に亘る)
は、以下の式のように定義される。
【0034】 h0=((1/h1)+(1/h2)+(1/h3)+(1/h4)+(1/ h5)+(1/h6))-1 (2) 熱の伝達された面積Aiは、熱伝達経路に沿って各ステ
ップ(i)で概ね等しい。更に、定常状態、即ちQin
のQoutと等しい状態では、伝達される熱(Qi)は
熱伝達経路に沿った各ステップにおいて等しい。即ち、
hi×ΔTiは熱伝達経路に沿った各ステップ(i)に
おいて等しい。従って、熱伝達係数の低い層では温度の
降下は大きく、熱伝達係数の大きい層では温度の降下は
小さい。
【0035】ウェハ62から金属製冷却盤60までの熱
伝達経路に沿った温度変化が、2000ワットの入力熱
量Qinに対して図8に表されている。図8に表されて
いるように、T1はウェハ62の接触面80の温度であ
り、T2はセラミック盤52の接触面82の温度であ
り、T3はセラミック盤52の底面の温度であり、T4
はアルミニウム製支持盤56の上面の温度であり、T5
はアルミニウム製支持盤56の底面の温度であり、T6
は真鍮製の冷却盤60の上面の温度であり、T7は真鍮
製の冷却盤60の底面の温度である。
【0036】図8は、空隙68での温度が約285℃か
ら55℃に降下することを表しており、従って温度差は
約230℃であることを表している。全体の温度降下
(T7−T1)は約260℃となっている。従って、約
88%の温度降下が空隙68において生じている。
【0037】表1に表されているように、熱伝達経路に
沿った最も低い熱伝達係数hはウエハとWCDとのイン
タフェース50に於ける熱伝達係数257W/M2−K
である。このかなり低い熱伝達係数は、図8のT1とT
2との間の温度降下によって表されているように、接触
面80と82との間のウエハとWCDの境界面50のか
なり大きい温度降下に関連するものである。しかし、こ
の温度降下はウエハとWCDの境界線50の温度伝達係
数を調節することによって制御することができ、従って
ウエハの温度が制御することができる。例えば、熱伝達
係数が増加した場合、そして温度降下はウエハの温度の
低下に応じて減少する。逆に、熱伝達係数が減少した場
合、温度降下はウエハの温度の上昇に応じて増加する。
【0038】ウエハとWCDの境界面50でのガスの熱
伝達係数の挙動は、3つの動作領域に分類される。この
3つの動作領域は、(1)連続領域、(2)自由分子行
程領域、及び(3)遷移領域である。連続領域では、熱
伝達係数は空隙60の幅とガスの熱伝導率の関数であ
り、しかし空隙68内のウエハ32の裏側面でのガスの
圧力(以下裏側ガス圧力と呼ぶ)とは無関係である。自
由分子行程領域では、熱伝達係数は裏側ガス圧力と、ガ
スの分子量との関数であるが、空隙68の幅とは無関係
である。遷移領域では連続領域と自由分子行程領域との
間の滑らかな補間法によって特徴づけられている。
【0039】ガスの組成が変化しない場合、例えばヘリ
ウム若しくは水素ガスのみが用いられている場合、熱伝
導率及びガスの分子量は一定である。この場合、熱伝達
係数の挙動は以下のように要約される。連続領域では、
空隙68の幅が熱伝達係数を制御し、自由分子行程領域
では裏側ガス圧力が熱伝達係数を制御し、遷移領域では
空隙68の幅と裏側ガス圧力との両方が熱伝達係数を制
御する。
【0040】図9は、空隙68の幅が5、10、20、
50、及び100μmでの、水素ガスの裏側ガス圧力に
対する熱伝達係数の変化を表している。自由分子行程領
域では、熱伝達係数は裏側ガス圧力のみの関数であり、
ガス圧力が0から約13ミリバール(0から10トルの
間)で自由分子行程領域としてあらわれている。連続領
域では、熱伝達係数は空隙68の幅のみの関数である
が、裏側ガス圧力が約100ミリバール(78トル)以
上でこの連続領域としてあらわれている。これらの2つ
の領域の間で、即ちガス圧力が約13から100ミリバ
ール(10から78トル)の範囲で、遷移領域があらわ
れている。
【0041】要約すれば、図9に表されているように、
熱伝達係数は、0から78トルの範囲では空隙の間隔に
無関係に裏側ガス圧力を調節することによって制御され
る。実際には、ウエハの温度の測定によって、ガス熱伝
達係数が、約10から20トルの範囲内で裏側ガス圧力
を調節することによって制御されることが明らかにされ
た。熱伝達係数を制御することにより、ウエハ68から
セラミック盤52までの温度降下が制御される。ウエハ
68からセラミック盤52までの温度降下は、ウエハ6
2の温度を制御する。即ち、約0から20トルの範囲内
の裏側ガス圧力に対して、ウエハ62の温度は単に裏側
ガス圧力を調節することによって十分に制御される。
【0042】図23は、裏側ガス圧力を制御するための
ある手段を表したブロック図である。図4に例示された
ガス入口管110と同様のガス入口管110は、WCD
に関連するガス流量のガス入口管110内で検知される
あらゆる圧力降下を防止するための充分な内径を有す
る。即ち、ガス入口管110のガス圧力は、ガス入口ポ
ートでの裏側ガス圧力とほぼ等しい。バルブ140がガ
ス入口管110に接続されている。バルブ140は例え
ばウエハを交換する間、WCDへのガスの流入を遮断す
る。
【0043】マノメータ124がガス入口管110に機
械的に接続されており、ガス入口管110の圧力を測定
する。マノメータ142は測定した圧力を表す信号を圧
力コントローラ128に出力する。圧力コントローラ1
28は、圧力制御バルブ120に電気的に接続されてお
り、マノメータ124によって測定された圧力に応じて
圧力制御バルブ120を調節する。圧力制御バルブ12
0は、ヘリウムなどのガス供給源に接続されたガス供給
ライン122に機械的に接続されている。
【0044】コントローラ128は、例えば10トルの
所望の圧力値(設定圧力)に設定されている。使用中
に、マノメータ124によって測定されたガス入口管1
10内の圧力が設定圧力以下に、例えば10トル以下
に、下がった場合、コントローラ128は圧力制御バル
ブ120にヘリウムの流量を増加するよう命令を送る。
これにより、ガス入口管110の圧力が設定圧力に達す
るまで増加する。逆に、マノメータ124によって測定
されたガス入口管110内の圧力が、設定圧力以上に上
昇した場合、コントローラ128は圧力制御バルブ12
0にヘリウムの流量を減少させる命令を送る。これによ
り、ガス入口管110の圧力が設定圧力に達するまで減
少する。
【0045】マノメータ124とコントローラ128と
に電気的に接続された電源126は、マノメータ12
4、コントローラ128、及び圧力制御バルブ120を
動作させるために必要な電圧を供給する。
【0046】圧力制御バルブ120には、「Unit
Instrunents」が製造したモデル#C11−
55719が用いられているが、他の同様な制御バルブ
が用いられても良い。コントローラ128には、「Un
it Instrunents」が製造したモデル#U
RS−20Pが用いられているが、他の同様なコントロ
ーラが用いられても良い。マノメータ124には、「T
ylan General」が製造したモデル#CML
A−21506が用いられているが、他の同様なマノメ
ータが用いられても良い。
【0047】ニードルバルブなどからなるバルブ134
は、一定量のガスがポンプ136に流れ込むようにする
ものである。これにより、圧力制御バルブ120に対す
る定常状態の条件が確定される。バルブ13は圧力制御
バルブ120を流れるガスが圧力制御バルブ120に対
する最適なガス流量と等しいかもしくはこの最適なガス
流量に近い値となるように設定されており、即ちガス流
量制御における公差を改善し、裏側圧力の制御の公差を
向上させる。
【0048】ある特定のウェハの温度を達成するため
に、どの圧力バルブがコントローラ128によって設定
されるかを求めるに、ルックアップテーブルが用いられ
る。任意の与えられたプロセスに対して、ルックアップ
テーブルが設定圧力に関連する測定されたウェハの温度
の相関関係を表している。ルックアップテーブルを作成
するためには、設定圧力が第1の値に設定され、ウェハ
の温度が測定される。次に設定圧力は第2の値に設定さ
れ、ウェハの温度は再び測定される。この反復過程が続
けられ、一つのルックアップテーブルが完成する。
【0049】代わりに、ウェハの温度が直接測定され
て、この測定された温度がガス圧力を制御するために用
いられる。ウェハの温度は赤外線技術を用いて測定さ
れ、または当業者によく知られた埋め込まれたもしくは
接触型の熱電対を用いて測定される。ウェハの温度はセ
ンサ138(図23)によって検出される。温度センサ
138はウェハの温度を表す信号をコントローラ128
に送り、コントローラ128は圧力制御バルブ120へ
裏側ガス圧力を調節しかつ所望のウェハ温度を保持する
ための命令を送る。例えば、ウェハの温度が所望のウェ
ハ温度よりも高い場合、コントローラ128は圧力制御
バルブ120に対してガス供給ラインにより多くのガス
を供給する命令を与えることによって裏側ガス圧力を増
加させる。これによってウェハの温度は所望の値まで減
少される。逆に、ウェハの温度が所望のウェハ温度より
も低い場合、コントローラ128は圧力制御バルブ12
0へガス供給ラインへより少ない量のガスを供給する命
令を与えることによって裏側ガス圧力を減少させる。こ
れによってウェハの温度が所望の値まで上昇する。
【0050】上述さてれているように、図7に例示され
た仮想的な構成では、ウェハとWCDの境界面50には
接触熱伝導が存在しないことが仮定されている。しか
し、ある条件のもとでは、接触熱伝導が実質的に存在す
る。図5及び図6を再び参照すると、接触熱伝導による
伝達された熱の量は、接触面80と82との間の直接的
な接触の面積によって決まる。接触する面積が大きけれ
ば、接触熱伝導によって伝達される熱量も増加する。直
接的な接触の面積は、接触面80と82との間に加えら
れた圧力と、接触面80と82の粗さ、平坦さ、及び硬
さとの関数となっている。接触面80の特性はウェハご
とに異なり、接触面80の特性は時間とともに変化する
ので、接触熱コンダクタンスを正確に制御することは困
難である。
【0051】接触面80と82との間の直接的な接触の
面積を減少させるために2つの技術が用いられている。
第1の技術は、接触する部分の面積の大部分を除去する
ものである。図10aは、本発明に基づく好適な実施例
のセラミック盤52の接触面82の上面図を表してい
る。図11aは、図10aの部分76の拡大上面図であ
る。図11bは、図11aの線A−A′に沿った領域7
6の断面図である。図10aに例示されているように、
接触面82は、円形のパターンで描かれている。
【0052】5個の円形部分72の上面図と側断面図
が、各々、図11a及び11bに表されている。図11
bから分かるように、各円形部分72は接触面82の突
出した表面72′を表しており、各円形部分の直径Dは
通常約1.5mmから2.5mmの範囲内にある。一
方、セラミック盤52に取り付けられたウェハ(図示さ
れていない)は、突出した円形部分72の表面72′に
沿ってのみセラミック盤52と接触する。即ち、接触熱
伝導に寄与する接触面82の表面積の多くの部分が除去
されている。接触熱伝導に寄与する接触面82の表面の
約80%から98%が除去されることが望ましい。図1
2aに例示された実施例では、接触面82の全表面積の
約80%の部分が、全表面積の約10%の接触熱伝導の
ために残された部分に対して降下した位置に設けられて
いる。図10a及び図11aに例示されて上面図では円
形部分72は円形の形状を有しているが、円形部分72
は任意のその他の形状、例えば三角形もしくは四角形の
形状を有するものであってもよい。
【0053】接触面82と80との間の直接的な接触の
量を減少させる第2の方法は、セラミック盤52の接触
面82を粗面化することである。接触面82を粗面化す
ることにより、接触面80と82との間の微少な点によ
る点接触の数が減少される。これにより、接触面80と
82との間の直接的な接触の数が減少し、従って接触熱
伝導が減少する。
【0054】図12は、種々のWCDにおける表面の粗
さ(接触面82の粗さ)に対する接触熱伝導 のための
熱伝達係数の変化を表している。曲線A及びBと、曲線
C及びDのWCDは、各々、0.05μmRaと、0.
35μmRaの粗さを有する接触面(82)であり、こ
こでμmRaは、接触面に概ね平行な仮想平坦面からの
μmを単位とする平均偏差を意味する。更に、曲線Dと
BのWCDの接触面(82)は、図10aに例示された
接触面82と等しく、かつ接触面82の全表面積の90
%が降下し位置に設けられている。
【0055】図12の符号Aによって表された曲線によ
って示されているように、接触熱伝導は、接触面80及
び82が0.05μmRa以下に研磨されている場合、
約2000W/M2−Kの熱伝達係数に対して極めて有
効となることが分かる。一方、表1に表されているよう
に、空隙68内の圧力10トルのヘリウムガスに対する
熱伝達係数は、約275W/M2−Kの最大値を有す
る。接触熱コンダクタンスと、ガス熱コンダクタンスと
は並列コンダクタンスとして働き、合計のコンダクタン
スは、接触熱コンダクタンスとガス熱コンダクタンスと
を加え合わさてもとめられるので、合計のコンダクタン
スは接触熱コンダクタンスによって支配されている。即
ち、ウェハの温度は入力電力によって主に決定され、裏
側ガス圧力とは実質的に無関係となる。
【0056】図12の曲線Dに表されているように、接
触熱伝導の熱伝達係数は、接触に寄与する表面の面積の
多くの部分(90%)を除去し、かつ接触に寄与する残
りの表面積を粗面化することによってかなり低減され
る。このような状況では、約20〜50W/M2−Kま
での範囲の接触熱伝導の熱伝達係数は、ウエハ表面の粗
さに応じて変化する。接触熱伝導の熱伝達係数が約50
W/M2−K以下の場合、接触熱伝導はそれほど重要で
はなくなり、ウエハ内で発生した熱量はガス層を通して
除去される。このような仮想的な状況に於ては、ウエハ
の温度は裏側ガス圧力を調節することによって制御され
る。
【0057】図12の曲線Bでは、ウエハの表面の粗さ
に応じて約60〜1000W/M2−Kの範囲で変化す
る熱伝達係数が、接触熱伝導に寄与する表面の約90%
が除去された場合にも得られている。曲線Cでは、ウエ
ハの表面の粗さに応じて約50〜275W/M2−Kの
範囲の熱伝達係数が、粗面化された接触面82によって
も得られている。曲線B及び曲線Cは、接触に寄与する
表面積の大部分が除去された場合と、残りの接触面が粗
面化された場合の何れの場合に於ても顕著な接触熱伝導
が起きることを表している。同業者には容易に理解でき
ることであるが、後退した表面82の割合と、残りの接
触面の表面の粗さとは、期待されるウエハの平坦さ、ウ
エハの寸法、及び期待される熱入力等の要因に基づく設
計事項である。
【0058】通常、WCDの接触面は、ウエハの表面の
粗さよりも大きくかつ3.0μmRa以下の粗さを有す
るように粗面化されることが望ましい。更に、Rmax
はRaの200%以下とされるべきであり、ここでRm
axは、WCDの接触面と概ね平行な下層平坦面からの
最大の偏差を表している。例えば、粗さが3.0μmR
aの場合、Rmaxは6.0μm以下とされるべきであ
る。また、WCDの接触面は、微小な接触点(図6を参
照のこと)がランダムに配置されるように、例えば接触
面をビードブラスト(bead blasting)によって処理す
ることにより、特定のパターンを有することのないよう
に粗面化されなければならない。
【0059】接触に寄与する表面の大部分を除去した後
に、図10aに示された円形パターンによって、ウエハ
と、実際に制御されるセラミック盤52内に埋め込まれ
た電極との間に空隙が形成される。電極とウエハとの間
の空隙を正確に調節することによって、均一な静電力が
生み出される。更に、円形パターンによって円形のドッ
トパターンによってWCDへのウエハの固定がなされ、
取り付けられたウエハの機械的な変形が防止される。
【0060】円形部分がまた、ガスが導入されるウエハ
62とセラミック盤52との間に均一な空隙を形成す
る。図11bに示されているように、この空隙の幅は、
円形部分72の高さHdによって確定されている。注目
されている圧力の範囲内(0から20トル)では、円形
部分の高さHdを40μm未満に保つことが望ましく、
好ましくは20〜35μmの範囲に保つことが熱伝達特
性の低下を防止するためには望ましい。円形部分の高さ
Hdの公差は、設定された値の±10%内とされるべき
である。熱伝達特性の低下が、図9に例示されている
が、ここでは圧力10トル(13ミリバール)で、円形
部分の高さDが20、50、100μmのWCDに対し
て、各々、約1700、1300、900W/M2−K
の熱伝達係数となる。
【0061】図13は、円形部分の高さHdが20μm
の本発明の実施例の中心に於て測定された、ガス圧力に
対する全体の熱伝達係数(ガス熱伝導及び接触熱伝導)
を表すグラフである。曲線E、Fでは、水素及びヘリウ
ムがガスとして各々用いられている。図13は、熱伝達
係数が、従ってウエハの温度が、裏側ガス圧力を制御す
ることによって迅速に調節されることを表している。
【0062】図13に示された全体の熱伝達係数は、図
9に於て予測された熱伝達係数よりも低いことが注目さ
れる。その主な原因は、分子がその接触する表面、即ち
ウエハ62及びセラミック盤52の接触面との間で熱的
な平衡状態に達することのできない程度を表すアコモデ
ーション係数(accommodation)と知られている要因に
起因するものである。このアコモデーション係数はま
た、図9に表されたより直線的な応答と比較して図13
に示された非直線的な応答の原因でもある。
【0063】ウエハの温度の変化は処理過程に悪影響を
及ぼすので、ウエハ表面の温度を均一に保つことが望ま
れる。このウエハの温度は空隙62内の裏側ガス圧力に
よって制御される。即ち、均一なウエハの温度を達成す
るためには、裏側ガス圧力を均一に保たなければならな
い。さもなければ、裏側ガス圧力の低い部分に於てウエ
ハ温度が高くなり、裏側ガス圧力が高い部分に於てウエ
ハの温度が低くなる。
【0064】図14は、裏側ガス圧力の変化がウエハの
温度に及ぼす影響を表すグラフである。図14に示され
ているように、5種類の異なる裏側ガス圧力に対する測
定された温度変化は、ウエハの中心から周縁部に向けて
温度が降下するものである。図14は、2000Wの熱
が直径200mmのウエハに伝達されたものと仮定されて
いる。裏側のガス(この場合ヘリウムガス)が、中心に
配置されたガス供給路を通して圧力10トルで供給され
ている。セラミック盤52は60℃に保たれている。曲
線Eのように、ウエハの中心部分から周縁部分に向かっ
た裏側ガス圧力の低下が1%の場合には、概ね均一なウ
エハの温度が達成される(中心部分では10.0トル、
周縁部分では9.9トル)。しかし、裏側ガス圧力の降
下が90%(中心部分では10.0トル、周縁部分では
1.0トル)のとき、曲線Hに示されているように、周
縁部分の温度は700℃以上となる。許容されるウエハ
の温度分布は、(ウエハ全体での表面の最高温度が40
0℃以下)、曲線Iによって示されているように、裏側
ガス圧力の降下が10%未満(中心部分で10.0ト
ル、周縁部分で9.0トル)の場合に達成されている。
即ち、裏側ガス圧力の変化が10%以上となることを回
避することが望まれる。
【0065】ほぼ均一な裏側ガス圧力を用いることのも
う1つの利点は、ウエハの全体的な温度を低くできると
いうことである。この理由は、裏側ガス圧力の変化が少
なくなると、ウエハの背面の平均圧力が上昇し、平均熱
伝達係数が上昇するからである。即ち、ウエハの中心部
分をも含め、ウエハ全体での温度が低下する。例えば、
ウエハの中心部分に於ける裏側ガス圧力が入力ガスの圧
力10トルに等しい場合でも、曲線Eでのウエハの中心
の温度(1%の変化)は、曲線Hの中心部分に於けるウ
エハの中心部分での温度(90%の変化)よりも低い。
【0066】曲線Gによって表されているように、裏側
ガス圧力が1%のみ変化する場合でも、ウエハの周縁部
分はウエハの中心部分よりもわずかに高い温度となって
いる。この理由は、ウエハをWCDに置く場合の誤差を
許容するために、ウエハはWCDの周縁部分よりもわず
かに外側に、およそ1mm外側にはみ出して配置されてい
るので、このはみ出して配置されたウエハの部分がウエ
ハ自体を通る熱の伝達以外によっては、ほとんど若しく
は全く冷却されないためである。
【0067】ガスを収容するために、セラミック盤52
(図10a、図11a、及び図11bを参照のこと)の
周縁部分に連続した環状リング78が設けられており、
ウエハ62とセラミック盤52との間のシールを形成す
る。図11bには、環状リング78の突出した表面部分
78′と円形部分72の突出した表面部分72′とが概
ね同一平面上にあるように描かれており、表面72′及
び78′と概ね平行な下層平坦面からの平均偏差は0.
1mm以下となっている。理想的には、完璧なシールと、
ガスの移動のないこととによって、ウエハの背面での圧
力の変化は起こらないことになる。しかし、実際には環
状リング78を通るガスのわずかな漏洩が生ずることが
ある。
【0068】上述されたようにWCD及び環状リング7
8は、ウエハを配置するときの誤差を許容するためにウ
エハよりもわずかに小さい直径を有するものとされてい
る。環状リング78のある部分がウエハの何れの部分と
も接触しないほどにウエハが不正確に配置された場合、
環状リング78とウエハとによって形成されるシールが
破られる。シールが破られた場合、ガスの漏洩速度が急
に増加する。
【0069】ウエハが不正確に配置されたことによって
はシールが破られなかった場合でも、依然としてシール
から漏洩を生ずることがある。ウエハ62と環状リング
78とによって形成されたシールに於けるある特定の漏
洩速度Qに対して、圧力の分布は以下の式によって定義
されるシールコンダクタンスCsによって決まる。
【0070】Q=Cs×ΔP (3) ここでΔPはシールの間の圧力降下を表している。
【0071】シールコンダクタンス(Cs)はさまざま
な要因によって変化する。1つの要因として、シールを
形成する接触面の粗さ、即ち環状リング78の表面の粗
さと、環状リング78と接触するウエハ62の表面の粗
さとがある。他の要因として、シールを形成する表面上
の硬質の粒子の存在がある。シールを形成する接触面の
間のクランプ力もまたシールコンダクタンスに影響を与
える。これらの要因を予測することは困難なので、シー
ルコンダクタンスもまた予測することが困難である。し
かしながら、環状リング78の幅(図11a及び図11
bのWsとして表されている)を増加することによっ
て、シールコンダクタンスに対するある程度の制御が達
成され、幅を広くすることによってシールコンダクタン
スが小さくなり、従って漏洩速度がより低くなる。
【0072】任意のシールコンダクタンスに対する最大
のシール漏洩速度は、シールの間で生ずる圧力降下が式
3に基づく可能な最大の圧力降下と等しくなった場合に
起きる。可能な最大の圧力降下は、裏側のガス入力圧力
(この圧力は裏側のガス入力圧力を越えるものではな
い)と、計算の都合上0トルとみなされる真空チャンバ
内の5ミリトルの動作圧力との差である。裏側ガス圧力
の変化を制限するために、セラミック盤52の表面の
(82)のパターンは、最大のシール漏洩速度を越える
流速を許容するものでなければならない。これは、内側
面のコンダクタンス(ウェハとセラミック盤52とによ
って形成された空隙内におけるセラミック盤52の中心
から環状リング78へのガス流れコンダクタンス)が、
シールコンダクタンスより大きな値のとき起こる。
【0073】内側面のコンダクタンスは、円形部分の高
さHDによって変化するが、その理由はこれら円形部分
がガスの流れる空間を確定するからである。より長い高
さの円形部分を有するWCDにおいては、内側表面のコ
ンダクタンスが高められる。しかしながら、上述された
ように、熱伝達特性の劣化を防止するためにはこの円形
部分の高さHDを40μm以下に保つことが望ましい。
【0074】内側面のコンダクタンスを増加させるため
に、ガス分配溝(図10a、図10b、図11a、図1
1bの符号74、及び74′として表されている)が用
いられている。図10bに示されているように、18本
のガス分配溝74が、WCDの中心部分の6角形の溝か
ら半径方向外側に向かって延在するように設けられてい
る。セラミック盤52の中心部分において十分な個数の
円形部分72を確保するために、中心ガス入り口ポート
からガスを分配するために6角形のパターンの内側では
6本のガス分配溝のみが設けられている。ガス分配溝
は、環状リング78にガスを供給し、環状リング78と
ウェハとによって形成されたシールからの漏洩したガス
の代わりとし、また真空チャンバ内にガスを供給する。
図11bと参照すると、ガス分配溝74、74′は4角
形の断面を有し、深さDC及び幅WCを有する。ある実
施例では、ガス分配溝74、74′の幅WCは、約15
00μmであり、深さDCは約700μmである。選択
された値の10%の誤差を見込んだ場合、ガス分配溝7
4、74′の幅WCは、約0.5から2.5mmの範囲
内にあることが望ましく、深さDCは、約0.2から
2.0mmの範囲内にあることが望ましい。
【0075】ガス分配溝74、74′の深さDCは様々
な要因に基づく設計事項である。第1に、深さDCが増
加されると、電極とウェハとの間の間隔がそれに応じて
増加し、静電力の応答時間、即ちウェハの固定/解放時
間が増加する。更に、電極とウェハとの間隔が増加され
ると、ウェハに対するRFの結合効率が低減される。更
に、ガス分配溝内のガスの誘電定数の値と、セラミック
盤52を構成している材料の誘電定数との差によって、
電極からウェハまでに形成された電界の不規則性が生ず
る。更に、深さDCが増加すると、電極とウェハとの間
の電圧ブレークダウンの確率が上昇し、セラミック盤5
2の強さが低下する。即ち、当業者にはよく知られてい
るよに、ガス分配溝の最大の深さは、上述された要因に
基づく設計事項である。
【0076】図15a、図16a、図17a、図18及
び、図19aには、様々な形状のガス分配溝を備えた本
発明の他の実施例が例示されている。図15aは、WC
Dの中心から放射状に外向きに延在する6本のガス分配
溝を有するWCDが例示されている。図16aは、環状
リング78に隣接して配置された1つの円形のガス分配
溝を備えたWCDが例示されている。図17aは、中心
の円形のガス分配溝から半径方向外向きに延在する12
本のガス分配溝を備えたWCDが描かれている。図18
には、格子状に配置されたガス分配溝を備えたWCDが
描かれている。図15b、図16b、及び図17bは、
更に、各々、図15a、図16a、図17aに例示され
た実施例のガス分配溝を表している。
【0077】図19aは、及び図19bは、6個の裏側
のガス入口ポート82(例えば直径3.0mm以下の)
と、中心のガス入口ポート84と、三角形のパターンに
配列されたガス分配溝とを有する他の実施例が表されて
いる。このガス分配溝は、金属製支持盤56内に形成さ
れており、6個の裏側のガス入口ポート82にガスを供
給している。複数のガス入口ポートを用いることによっ
て、裏側ガス圧力の変化が減少される。しかし、ガス入
口ポート82によって接地電位との間のインピーダンス
の低い経路が形成されやすく、アーク放電の生ずる可能
性も高まり、WCD装置の故障する原因となる。
【0078】これらの全ての実施例において、図示され
た中心のガス入口ポートは通常直径4.7mmであり、
ガス入口管(図4の110)からガスを供給されてい
る。図10a、図15aa、図16a、図17a、図1
8、及び図19aでは、セラミック盤52内に封入され
た二極静電チャック機構を形成する互いに噛合する形状
の電極95と95′の外形が破線によって描かれてい
る。電極95と95′の厚みはRF電力を結合させ、か
つRF電流に対するインピーダンスの低い経路を提供す
るように十分な厚みでなければならない。約15から4
5μmの範囲内の、例えば30μmの厚さを有する電極
が適切である。上述された全ての実施例では、環状のガ
ス分配チャネル74′が例示されている。この環状のガ
ス分配溝は、環状リング78とウェハとによって形成さ
れたシールに沿ってガスを分配し、従って、任意の局部
的なシールからのガスのリンクによって引き起こされる
圧力のばらつきを低減させる。様々な直径の、例えば1
50、200、及び300mmの直径を有するウェハが
上述された全ての実施例において用いられる。特に、図
15aと図10aに例示された実施例は、各々、150
mmと200mmの直径のウェハに適している。
【0079】予測されるWCDの特性が、図10a、図
15a、図17a、及び図18、及び図19に例示され
た実施例に対して、以下の表2に表されている。
【0080】
【表2】
【0081】表2に表された全ての実施例では、溝の幅
は1500μmである。ウェハの周縁部圧力は、環状リ
ング78の内側の周縁部における裏側ガス圧力を表して
いる。シール漏洩速度は、表2に表された対応するウェ
ハの周縁部圧力に対するウェハと環状リング78とによ
って形成されたシールでの予想される漏洩速度である。
内側面流速は、裏側ガス圧力がウェハの中心部において
10.0トル、ウェハの周縁部において9.0トル(裏
側ガス圧力の変化が10%)の場合に、セラミック盤の
表面82を通る予測される流速である。
【0082】表2に表されているように、実施例1(図
19a)では、圧力は内側面においてウェハの中心部に
おける内側圧力10トルからウェハの周縁部における圧
力9.7トルまで0.3トル変化する。即ち、実施例1
では、裏側ガス圧力の変化は3%である。同様に、実施
例5、10、11、及び14では、裏側ガス圧力の変化
は、各々3%、5%、3%、及び9%である。実施例1
では、セラミック盤の表面82における内側面の流速
は、50.00SCCM(ウェハの中心と周縁部との間
の圧力降下が1トルの場合に対して)であり、この値は
予測されるシール漏洩速度14.6SCCMを大きく上
回るものである。これによって、例えばウェハが整合せ
ずに配置されたことによって、若しくは粒子の存在によ
って発生するより高いシール漏洩速度を許容する大きな
公差が提供される。同様に、実施例5では、5、10、
及び11では、セラミック盤の表面52における内側面
の流速50.00、26.00、及び26.00SCC
Mは、各々、予測される最大のシール漏洩速度14.
6、14.2、及び7.3SCCMを上回り、従ってよ
り高いシール漏洩速度を許容する顕著な公差が提供され
る。
【0083】実施例1、2、5、9、及び10(表2)
に対する予測される熱伝達係数が以下の表3に表されて
いる。与えられた熱伝達係数は、ガス熱伝導に対するも
ののみである。これら全ての実施例において、接触熱伝
導に対する熱伝達係数は、より粗面化されたウェハ表面
の粗さに応じて約5から30W/N2−Kの範囲内で変
化し、より粗面化されたウェハ表面によって、より低い
熱伝達係数がもたらされる。
【0084】
【表3】
【0085】表3は、裏側ガス圧力の変化が少ない実施
例が、ウェハの中心部とウェハの周縁部との間の熱伝達
係数の変化が少ないことを表している。例えば、実施例
1では、裏側ガス圧力の変化は3%であり、ウェハの中
心部及びウェハの周縁部での熱伝達係数は、各々、29
5W/N2−Kと255W/N2−Kであり、この値は4
2W/N2−K の変化をもたらす。しかしながら、実施
例2では、裏側ガス圧力の変化は82%というより高い
値であり、ウェハの中心部とウェハの周縁部における熱
伝達係数は、各々、22.3W/N2−Kと89W/N2
−Kとであり、変化は134W/N2−Kである。熱伝
達係数の変化が少ないほど、図20に例示されているよ
うに、ウェハの温度分布が改善される。
【0086】図20では、実施例1と実施例9のウェハ
の温度分布が、各々、曲線JとKとによって表されてお
り、ここで粗いウェハの表面(接触熱伝導の熱伝達係数
が約5W/N2−Kに等しい)が仮定されている。滑ら
かなウェハの表面と、粗いウェハの表面とを備えた実施
例2のウェハの温度分布が、各々、曲線LとNによって
表されている。接触熱伝導の熱伝達係数は曲線LとNと
に対して、各々、500W/N2−Kと30W/N2−K
となっている。図20では、2000ワットの熱量が直
径200mmのウェハに供給されているという仮定がさ
れている。裏側ガス、即ちヘリウムが、圧力10トルで
中心に位置されたガス供給管から供給されている。セラ
ミック盤52は60℃に保たれている。
【0087】曲線Mによって表されているように、粗い
表面を備えたウェハを用いた実施例2において、ウェハ
の周縁部分の温度が700℃以上となっている。しか
し、曲線Lによって表されているように、ウェハの表面
が研磨されている場合、実質的に均一なウェハの温度分
布が主要な接触熱伝導によって達成されている。しか
し、接触熱伝導が熱伝達機構の主な役割を果たすので、
裏側ガス圧力によってウェハの温度を制御する可能性が
制限されている。
【0088】曲線Jによって表されているように、最大
のウェハの温度が350℃以下であるほぼ均一なウェハ
の温度分布が実施例1において達成されている。重要な
ことは、実施例1ではガス熱伝導が主要な役割を果たす
ので、ウェハの温度は裏側ガス圧力を調節することによ
って十分に制御されるということである。
【0089】曲線Kでは、実施例9のウェハの温度分布
が表されている。曲線Kはウェハの温度に対する調節さ
れた圧力の変化の効果が表されている。図示されている
ように、圧力の変化が27%の場合でさえも、ウェハの
周縁部分の温度は350℃以上となる。
【0090】図21は、本発明に基づくWCDの中心部
分での裏側ガス圧力に対する温度を表している。図21
は、2000ワットの熱量が直径200mmのウェハに
供給されているという仮定がなされている。裏側ガス圧
力が8トルの場合、ウェハの温度は約225℃に保たれ
ている。しかし、裏側ガス圧力を5トルに減少させるこ
とによって、ウェハの温度は約257℃に調節される。
図示されているように、ウェハの温度は更に裏側ガス圧
力を減少させることによって更に増加される。即ち、図
21は、ウェハの温度が裏側ガス圧力を調節することに
よって充分に制御されることを表している。
【0091】図22a及び図22b(以下、両方の図を
まとめて図22と呼ぶ)に例示されているように、各
々、本発明に基づく他の実施例の分解上面図と断面図と
が表されている。この実施例では、セラミック盤110
は、例えば、インジウム製のはんだを用いて、金属製冷
却盤112にろう付け若しくは半田付けされている。ろ
う付け若しくは半田付けに用いられる材料は、セラミッ
ク盤110と金属製冷却盤112との異なる熱膨張を許
容するための十分な柔軟性を有するものでなければなら
ない。セラミック盤110と金属製冷却盤112は、各
々、図2に例示されたセラミック盤50と、金属製冷却
盤60とに実質的に等しい。金属製冷却盤112は、金
属製支持盤114内に形成されたキャビティ内にボルト
によって固定されている。
【0092】図22に例示された実施例は、熱伝導性ペ
ーストの第1の層と第2の層(各々、図2の54と5
8)が用いられていない。これは、真空処理過程の汚染
に関連して熱伝導性ペーストの漏洩がOリング55(図
2)を通過する可能性が除去されるので有利な点である
といえる。もう1つの利点は、ウェハに加えられた熱
が、セラミック盤110を通りろう付け層若しくは半田
付け層を通り、次に直接金属製冷却盤112に伝達され
るということである。これによって、WCDの熱伝達能
力が向上する、その理由は熱が金属製支持盤114を介
して伝達されないからである。セラミック盤52(また
は110)の接触面82の構造の特徴は、図2及び図2
2に例示された実施例に関する特徴と等しい。
【0093】これまでの説明は、本発明の主要なかつ好
適な実施例に関してなされていた。しかし、本発明はあ
る一定の上述された実施例に限定される構造を有するも
のではない。例えば、様々な熱伝導性を有するセラミッ
ク盤を用いることができる。WCDは、三角形若しくは
四角形などの様々な形状であってよい。また、支持盤の
ためにはアルミニウム以外の、冷却盤のためには真鍮以
外の、その他の金属または材料が用いられてもよい。更
に、ウェハの中心における裏側ガス圧力は、より高い入
力電力が用いられる場合には10トル以上に増加されて
もよい。更に、薄膜ヘド若しくはフラットパネルディス
プレイの製造業者によって用いられているような、シリ
コン、ガリウム砒素、若しくはセラミックウェハ、また
は基板が、本発明の基板として用いられてもよい。更
に、本発明のWCDは、化学蒸着法(CVD)、プラズ
マ化学蒸着法(PECVD)、プラズマエッチング法、
スパッタエッチング法、及び物理蒸着法(PVD)等の
様々なプラズマ処理法に用いられてもよい。即ち、上述
された実施例は、限定を意図するものではなく1つの例
として考慮されるべきものである。添付の特許請求の範
囲によって定義される本発明の技術的視点から逸脱せず
に、これらの実施例に様々な変形がなされることは当業
者には容易に理解される。
【図面の簡単な説明】
【図1】従来技術の静電チャックを表す図。
【図2】本発明に基づくウェハ冷却装置(WCD)の分
解斜視図。
【図3】本発明に基づく金属製の冷却盤の斜視図。
【図4】本発明に基づくWCDが据え付けられたユニッ
トの断面図。
【図5】本発明に基づくWCDの側断面図。
【図6】図5に例示されたウェハとWCDの境界面の拡
大断面図。
【図7】ウェハとWCDとの表面の間に接触する部分の
ない仮想的なウェハとWCDとの組立体を表す図。
【図8】ウェハからWCDまでの熱伝達経路に沿った温
度分布を表すグラフ。
【図9】ガスの圧力と接触面の間隔とに関連する熱伝達
係数の変化を表すグラフ。
【図10】a及びbからなり、aは本発明に基づくWC
Dのセラミック盤の接触面の上面図であり、bはaに示
されたWCDのガス分配溝を表す図。
【図11】a及びbからなり、aは図10aに例示され
たセラミック盤の一部分の拡大上面図であり、bは図1
0aに示されたセラミック盤の一部分の拡大断面図。
【図12】本発明に基づく様々なWCDの熱伝達係数と
ウェハ表面の粗さとの関係を表すグラフ。
【図13】本発明に基づくWCDの中心で測定されたガ
ス圧と全体的な熱伝達係数との関係を表すグラフ。
【図14】本発明に基づくWCDの圧力の不均一性のウ
ェハ温度への影響を表すグラフ。
【図15】a及びbからなり、aは本発明に基づくある
実施例のガス分配溝を備えたWCDの上面図であり、b
はaに例示された実施例のガス分配溝を表す図。
【図16】a及びbからなり、aは本発明に基づくある
実施例のガス分配溝を備えたWCDの上面図であり、b
はaに例示された実施例のガス分配溝を表す図。
【図17】a及びbからなり、aは本発明に基づくある
実施例のガス分配溝を備えたWCDの上面図であり、b
はaに例示された実施例のガス分配溝を表す図。
【図18】本発明に基づくある実施例のガス分配溝上面
図。
【図19】a及びbからなり、aは本発明に基づくある
実施例のガス分配溝を備えたWCDの上面図であり、b
はaに例示された実施例のガス分配溝を表す図。
【図20】本発明に基づくある実施例の数種類のWCD
のウェハの温度分布を表すグラフ。
【図21】本発明に基づくWCDの中心の裏側面のガス
圧力と温度との関係を表すグラフ。
【図22】a及びbからなり、aはセラミック板が金属
製の冷却板に直接ろう付け若しくは溶接された本発明に
基づく他の実施例の分解斜視図であり、bはaに例示さ
れた実施例の断面図。
【図23】本発明に基づくWCDの裏側面のガス圧を制
御するための手段を表すブロック図。
【符号の説明】
2 電極 4 絶縁層 6 基板 10 負電荷 12 正電荷 20 ワッシャ 22 スプリングワッシャ 24 ボルト 26 ボルト 28 接続ピン 30 接続ピン 48 WCD 50 ウェハとWCDの境界面 52 セラミック盤 53 凹部 54 第1の熱伝導性ペースト層 55 Oリング 56 金属製支持盤 57 Oリング 58 第2の熱伝導性ペースト層 59 Oリング 60 金属製冷却盤 62 ウェハ 72 円形部分 72′円形部分の表面 74 ガス分配溝 74′ガス分配溝 78 環状リング 78′環状リングの表面 80 ウェハの接触面 82 セラミック盤の接触面 84 ガス入口ポート 95 電極 95′電極 100 WCDが取り付けられたユニット 102 溝入口 104 溝出口 106 冷却水溝 110 セラミック盤 110A ガス入口管 112 金属製冷却盤 114 金属製支持盤
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェイムズ・ウィング アメリカ合衆国カリフォルニア州 94022・ロスアルトス・ミルバートン 666 (56)参考文献 特開 平7−153825(JP,A) 特開 平2−135753(JP,A) 特開 昭60−115226(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/68 H01L 21/205

Claims (67)

    (57)【特許請求の範囲】
  1. 【請求項1】 基板から熱を除去するための基板冷却
    装置であって、 基板接触盤であって、 周辺部に設けられた突出した部分と、 キャビティを形成する凹部と、各々が、接触面において
    終息するとともに前記周縁部分に設けられた前記突出し
    た部分の表面と前記各々の接触面とが概ね同一平面上に
    設けられた複数の凸部とを有する中央部分であって、前
    記凹部が前記中央部分の面積の80パーセント以上98
    パーセント以下の面積を占め、前記接触面が0.35μ
    m以上3.0μm以下の範囲内の平均粗さ(Ra)を有
    する、前記中央部分と、 前記キャビティ内へガスを供給するための少なくとも1
    つのガス入り口溝とを有する前記基板接触盤と、 前記基板接触盤に熱的に接続されているとともに、冷却
    液を通すための溝を有する冷却盤とを有することを特徴
    とする基板冷却装置。
  2. 【請求項2】 少なくとも1つの前記基板接触盤と、
    前記冷却盤とに取り付けられた支持盤を更に有すること
    を特徴とする請求項1に記載の装置。
  3. 【請求項3】 前記支持盤が、前記基板接触盤と前記
    冷却盤との間に配置されていることを特徴とする請求項
    2に記載の装置。
  4. 【請求項4】 前記基板接触盤の背面と、前記支持盤
    の第1の面との間に設けられた熱伝導性ペーストの第1
    の層と、 前記支持盤の第2の面と、前記冷却盤との間に設けられ
    た熱伝導性ペーストの第2の層とを更に有することを特
    徴とする請求項3に記載の装置。
  5. 【請求項5】 前記第1の熱伝導性ペーストの層を、
    前記基板冷却装置を取り囲む真空環境から保護するため
    の第1のシールを更に有することを特徴とする請求項4
    に記載の装置。
  6. 【請求項6】 前記第1の熱伝導性ペーストの層を、
    前記少なくとも1つのガス入り口溝内の真空環境から保
    護する第2のシールを更に有することを特徴とする請求
    項5に記載の装置。
  7. 【請求項7】 前記支持盤を前記基板接触盤に取り付
    けるための複数のボルトを更に有することを特徴とする
    請求項3に記載の方法。
  8. 【請求項8】 前記ボルトの各々に対してスプリング
    ワッシャが用いられており、前記支持盤と前記基板接触
    盤の各々の熱的な膨張特性の差を受容する柔軟性が提供
    されていることを特徴する請求項7に記載の装置。
  9. 【請求項9】 前記基板接触盤がセラミック材料から
    構成されていることを特徴とする請求項4に記載の装
    置。
  10. 【請求項10】 前記冷却盤が、前記基板接触盤と前
    記支持盤のとの間に配置されていることを特徴とする請
    求項2に記載の装置。
  11. 【請求項11】 前記基板接触盤が、前記冷却盤にハ
    ンダ付けによってもしくは鑞付けによって取り付けられ
    ていることを特徴とする請求項10に記載の装置。
  12. 【請求項12】 前記周縁部の突出した部分が、環状
    のシールリングを有することを特徴とする請求項1に記
    載の装置。
  13. 【請求項13】 前記少なくとも1つのガス入り口溝
    が、前記中央部分の中心にもしくは前記中心の付近に配
    置されたガス入り口ポートから前記キャビティ内に連通
    していることを特徴とする請求項12に記載の装置。
  14. 【請求項14】 基板から熱を除去するための基板冷
    却装置であって、 基板接触盤であって、 環状のシールリングを備えた、周辺部に設けられた突出
    した部分と、 キャビティを形成する凹部と、各々が、接触面において
    終息するとともに前記周縁部分に設けられた前記突出し
    た部分の表面と前記各々の接触面とが概ね同一平面上に
    設けられた複数の凸部とを有する中央部分 と、前記キャビティ内へガスを供給するための少なくとも1
    つのガス入り口溝とを有する前記基板接触盤と、 前記基板接触盤に熱的に接続されているとともに、冷却
    液を通すための溝を有する冷却盤と、 前記環状のシールリングの内側エッジに隣接して設けら
    れた環状のガス分配リングとを有することを特徴とする
    基板冷却装置
  15. 【請求項15】 前記中央部分が、前記少なくとも1
    つのガス入り口ポートから前記環状のガス分配リングへ
    向けて延在する複数のガス分配溝を更に有することを特
    徴とする請求項14に記載の装置。
  16. 【請求項16】 前記ガス分配溝が、0.2mmから
    2.0mmの範囲の深さと、0.5mmから2.5mm
    の範囲の幅とを有することを特徴とする請求項15に記
    載の装置。
  17. 【請求項17】 前記ガス分配溝が、約0.7mmの
    深さと、約1.5mmの幅とを有することを特徴とする
    請求項16に記載の装置。
  18. 【請求項18】 前記複数のガス分配溝が、前記少な
    くとも1つのガス入り口ポートを囲む閉じた溝と、前記
    ガス入り口ポートから前記閉じた溝まで延在する第1の
    複数の溝と、前記閉じた溝から前記環状のガス分配リン
    グまで延在する第2の複数の閉じた溝とを有し、 前記第2の複数の溝の個数が、前記第1の複数の溝の個
    数よりも多いことを特徴とする請求項15に記載の装
    置。
  19. 【請求項19】 前記閉じた溝が6角形の形状を有
    し、 前記第1の複数の溝が6本の溝からなり、前記第2の複
    数の溝が8本の溝からなり、前記第1の複数の溝と前記
    第2の複数の溝の各々の溝が前記閉じた溝のなす6角形
    の形状の角の部分と交わることを特徴とする請求項18
    に記載の装置。
  20. 【請求項20】 前記ガス分配溝が、前記ガス入り口
    ポートから放射状に外向きに延在することを特徴とする
    請求項15に記載の装置。
  21. 【請求項21】 前記基板冷却装置が、少なくとも6
    個の前記ガス分配溝を有すことを特徴とする請求項20
    に記載の装置。
  22. 【請求項22】 前記基板冷却装置が、少なくとも1
    2個の前記ガス分配溝を有することを特徴とする請求項
    21に記載の装置。
  23. 【請求項23】 前記ガス分配溝が三角形の形状をな
    すように配置されていることを特徴とする請求項15
    記載の装置。
  24. 【請求項24】 前記ガス分配溝が、格子状の形状を
    なすように配置されていることを特徴とする請求項15
    に記載の装置。
  25. 【請求項25】 前記基板接触盤に対して基板を固定
    する手段を更に有することを特徴とする請求項1に記載
    の装置。
  26. 【請求項26】 前記基板接触盤が、少なくとも1つ
    の前記基板接触盤に前記基板を固定するための電極を更
    に有することを特徴とする請求項1に記載の装置。
  27. 【請求項27】 前記基板接触盤が、一対の互いに噛
    合する形状の電極を有することを特徴とする請求項26
    に記載の装置。
  28. 【請求項28】 前記少なくとも1つの電極が15μ
    mから45μmの厚さを有することを特徴とする請求項
    26に記載の装置。
  29. 【請求項29】 前記基板を前記基板接触盤に取り付
    けるための静電力を形成するための前記少なくとも1つ
    の電極に接続された電圧源を更に有することを特徴とす
    請求項26に記載の装置。
  30. 【請求項30】 前記少なくとも1つの電極に接続さ
    れたRF電源を更に有することを特徴とする請求項26
    に記載の装置。
  31. 【請求項31】 RF電源と、前記基板接触盤と前記
    冷却盤の少なくとも一方に取り付けられた金属製の支持
    盤とを更に有し、 前記RF電源が前記少なくとも1つの電極と前記金属製
    の支持盤とに接続されていることを特徴とする請求項2
    に記載の装置。
  32. 【請求項32】 前記キャビティはその深さが40μ
    m以下であり、設定された値に対して±10%の公差と
    なるように製造されていることを特徴とする請求項1に
    記載の装置。
  33. 【請求項33】 前記周縁部の突出した領域の前記表
    面と前記接触面とを平均したの平均面からの偏差が、
    0.1mm未満であることを特徴とする請求項1に記載
    の装置。
  34. 【請求項34】 RmaxがRaの200%の未満であ
    ることを特徴とする請求項1に記載の装置。
  35. 【請求項35】 前記周縁部に設けられた突出部の前
    記表面の木目と前記接触面の木目がランダムなものであ
    ることを特徴とする請求項1に記載の装置。
  36. 【請求項36】 基板冷却装置と、前記基板接触盤に
    支持された基板とを組み合わせた組合わせ基板冷却装置
    であって、前記基板冷却装置が、 基板接触盤であって、 周辺部に設けられた突出した部分と、 キャビティを形成する凹部と、各々が、接触面において
    終息するとともに前記周縁部分に設けられた前記突出し
    た部分の表面と前記各々の接触面とが概ね同一平面上に
    設けられた複数の凸部とを有する中央部分であって、前
    記凹部が前記中央部分の面積の80パーセント以上98
    パーセント以下の面積を占め、前記接触面が0.35μ
    m以上3.0μm以下の範囲内の平均粗さ(Ra)を有
    する、前記中央部分と、 前記キャビティ内へガスを供給するための少なくとも1
    つのガス入り口溝とを有する前記基板接触盤と、 前記基板接触盤に熱的に接続されているとともに、冷却
    液を通すための溝を有する冷却盤とを有し、 前記基板の表面が、前記突出した前記領域と、前記接触
    面とに接触しており、ガスが前記少なくとも1つのガス
    入り口溝を通って前記キャビティ内に供給されることを
    特徴とする組合わせ基板冷却装置。
  37. 【請求項37】 前記ガスが、水素、ヘリウム、窒
    素、及びアルゴンからなる集合から選択されたものであ
    ることを特徴とする請求項36に記載の組合わせ基板冷
    却装置。
  38. 【請求項38】 前記キャビティ内の前記ガスの圧力
    が約20トル以下であることを特徴とする請求項36
    記載の組合わせ基板冷却装置。
  39. 【請求項39】 前記基板に、前記基板冷却装置の外
    部の電源から電力が供給され、前記基板の温度が前記ガ
    スの圧力によって制御されることを特徴とする請求項3
    に記載の組合わせ基板冷却装置。
  40. 【請求項40】 前記基板から除去された前記熱の約
    20%未満の熱は前記突出部を通って除去されることを
    特徴とする請求項39に記載の組合わせ基板冷却装置。
  41. 【請求項41】 前記周縁部分に設けられた突出した
    部分と前記接触面との粗さが、前記基板冷却装置と接触
    する前記基板の表面の粗さ以上であることを特徴とする
    請求項36に記載の組合わせ基板冷却装置。
  42. 【請求項42】 前記少なくとも1つのガス入り口溝
    内のガスの圧力を制御するための圧力制御バルブと、 前記少なくとも1つのガス入り口溝内の前記ガスの圧力
    を検出するための圧力センサと、 前記圧力制御バルブと前記圧力センサとに接続されたコ
    ントローラとを更に有し、 前記コントローラが前記圧力センサからの信号を受け取
    り、前記信号に応答して前記少なくとも1つのガス入り
    口溝内の前記圧力を予め決められた値に保持する信号を
    前記圧力制御バルブに送ることを特徴とする請求項36
    に記載の組合わせ基板冷却装置。
  43. 【請求項43】 前記少なくとも1つのガス入り口溝
    内のガスの圧力を制御するためのガス制御バルブと、 前記基板の温度を検出するための温度センサと、 前記圧力制御バルブと前記温度センサとに接続されたコ
    ントローラとを更に有し、 前記コントローラが前記温度センサからの信号を受け取
    り、前記信号に応答して前記基板の温度を予め決めらた
    値に保持するための信号を前記圧力制御バルブに送るこ
    とを特徴とする請求項36に記載の組合わせ基板冷却装
    置。
  44. 【請求項44】 反応チャンバと、基板冷却装置とを
    有する化学蒸気反応装置であって、 前記基板冷却装置が、 基板接触盤であって、 周辺部に設けられた突出した部分と、 キャビティを形成する凹部と、各々が、接触面において
    終息するとともに前記周縁部分に設けられた前記突出し
    た部分の表面と前記各々の接触面とが概ね同一平面上に
    設けられた複数の凸部とを有する中央部分であって、前
    記凹部が前記中央部分の面積の80パーセント以上98
    パーセント以下の面積を占め、前記接触面が0.35μ
    m以上3.0μm以下の範囲内の平均粗さ(Ra)を有
    する、前記中央部分と、 前記キャビティ内へガスを供給するための少なくとも1
    つのガス入り口溝とを有する前記基板接触盤と、 前記基板接触盤に熱的に接続されているとともに、冷却
    液を通すための溝を有する冷却盤とを有し、 前記基板冷却装置が前記反応チャンバ内の基板を支持す
    るように位置決めされていることを特徴とする化学蒸気
    反応装置。
  45. 【請求項45】 前記化学蒸気反応装置が、化学蒸着
    装置からなることを特徴とする請求項44に記載の化学
    蒸気反応装置。
  46. 【請求項46】 前記化学蒸着装置が、プラズマ化学
    蒸着装置からなることを特徴とする請求項45に記載の
    化学蒸気反応装置。
  47. 【請求項47】 プラズマエッチング装置からなるこ
    とを特徴とする請求項44に記載の化学蒸気反応装置。
  48. 【請求項48】 スパッタエッチング装置からなるこ
    とを特徴とする請求項44に記載の化学蒸気反応装置。
  49. 【請求項49】 物理蒸着装置からなることを特徴と
    する請求項44に記載の化学蒸気反応装置。
  50. 【請求項50】 基板冷却装置であって、 その周縁部分に沿って延在する突出した環状リングと、 凹部と、各々が接触面において終息すると共に前記突出
    した環状リングの表面と前記接触面がほぼ同一平面上に
    ある複数の円形部分と、ガス入り口ポートとを有する、
    前記突出した環状リングの内側に配置された中央部分と
    を有する上面を備えたセラミック盤であって、前記凹部
    が前記中央部分の面積の80パーセント以上98パーセ
    ント以下の面積を占め、前記接触面が0.35μm以上
    3.0μm以下の範囲内の平均粗さ(Ra)を有する、
    前記セラミック盤と、 前記セラミック盤にウェハを固定するための静電力を発
    生させるための前記セラミック盤内に埋め込まれた互い
    に噛合う一対の電極と、 前記セラミック盤の裏側面に取り付けられた金属製の支
    持盤と、 前記金属製の支持盤の裏側面に取り付けられていると共
    に冷却液を通すための溝をその内部に形成された冷却盤
    と、 前記冷却盤と前記金属製の支持盤とを通って前記ガス入
    り口ポートまで延在するガス入り口溝とを有することを
    基板冷却装置。
  51. 【請求項51】 熱伝導性ペーストからなる第1の層
    が、前記セラミック盤を前記金属製の支持盤に接続し、 熱伝導性のペーストからなる第2の層が、前記金属製の
    支持盤を前記冷却盤に接続していることを特徴とする
    求項50に記載の基板冷却装置。
  52. 【請求項52】 熱伝導性ペーストからなる前記第1
    の層を、前記ウェハ冷却装置を取り囲む真空の環境から
    保護するための第1のOリングと、 熱伝導性ペーストからなる前記第1の層を前記ガス入り
    口溝内の真空の環境から保護する第2のOリングとを更
    に有することを特徴とする請求項51に記載の基板冷却
    装置。
  53. 【請求項53】 前記金属製の支持盤を前記セラミッ
    ク盤に取り付けるための複数のボルトを更に有すること
    を特徴とする請求項50に記載の基板冷却装置。
  54. 【請求項54】 前記ボルトの各々に対してスプリン
    グワッシャが用いられており、前記金属製の支持盤と前
    記セラミック盤の各々の熱的な膨張特性の差を許容する
    柔軟性が提供されていることを特徴とする請求項53
    記載の基板冷却装置。
  55. 【請求項55】 基板冷却装置であって、 その周縁部分に沿って延在する突出した環状リングと、 凹部と、各々が接触面において終息すると共に前記突出
    した環状リングの表面と前記接触面がほぼ同一平面上に
    ある複数の円形部分と、ガス入り口ポートとを有する、
    前記突出した環状リングの内側に配置された中央部分と
    を有する上面を備えたセラミック盤と、 前記セラミック盤にウェハを固定するための静電力を発
    生させるための前記セ ラミック盤内に埋め込まれた互い
    に噛合う一対の電極と、 前記セラミック盤の裏側面に取り付けられた金属製の支
    持盤と、 前記金属製の支持盤の裏側面に取り付けられていると共
    に冷却液を通すための溝をその内部に形成された冷却盤
    と、 前記冷却盤と前記金属製の支持盤とを通って前記ガス入
    り口ポートまで延在するガス入り口溝と、 前記突出した環状リングの内側エッジ部分に隣接して設
    けられた環状のガス分配リングとを有することを基板冷
    却装置。
  56. 【請求項56】 前記中央部分が、前記ガス入り口ポ
    ートから前記環状のガス分配リングまで延在する複数の
    ガス分配溝を更に有することを特徴とする請求項55
    記載の基板冷却装置。
  57. 【請求項57】 前記突出した環状リングの表面が、
    前記セラミック盤に支持されたウェハの表面に対して部
    分的なシールを形成するように設計されていることを特
    徴とする請求項50に記載の装基板冷却置。
  58. 【請求項58】 基板冷却装置であって、 その周縁部分に沿って延在する突出した環状リングと、 凹部と、各々が接触面において終息すると共に前記突出
    した環状リングの表面と前記接触面がほぼ同一平面上に
    ある複数の円形部分と、ガス入り口ポートとを有する、
    前記突出した環状リングの内側に配置された中央部分と
    を有する上面を備えたセラミック盤であって、前記凹部
    が前記中央部分の面積の80パーセント以上98パーセ
    ント以下の面積を占め、前記接触面が0.35μm以上
    3.0μm以下の範囲内の平均粗さ(Ra)を有する、
    前記セラミック盤と、 前記セラミック盤にウェハを固定するための静電力を発
    生させるための前記セラミック盤内に埋め込まれた互い
    に噛合う一対の電極と、 前記セラミック盤の裏面に取り付けられていると共に冷
    却液を通すための溝をその内部に形成された冷却盤と、 前記冷却盤の裏面に取り付けられた金属製の支持盤と、 前記冷却盤と前記金属製の支持盤とを通って前記ガス入
    り口ポートまで延在するガス入り口溝とを有することを
    基板冷却装置。
  59. 【請求項59】 前記冷却盤が、前記セラミック盤の
    前記裏面にハンダ付けもしくは鑞づけによって取り付け
    られていることを特徴とする請求項58に記載の基板冷
    却装置。
  60. 【請求項60】 前記冷却盤を前記セラミック盤の前
    記裏面に取り付けるために用いられている材料が、前記
    冷却盤と前記セラミック盤の各々の熱的な膨張特性の差
    を許容するために十分な柔軟性を有するものであること
    を特徴とする請求項59に記載の基板冷却装置。
  61. 【請求項61】 前記冷却盤が、インジウムハンダに
    よって前記セラミック盤の前記裏面に取り付けられてい
    ることを特徴とする請求項59に記載の装置。
  62. 【請求項62】 前記冷却盤が、前記金属製の支持盤
    の中に形成されたキャビティ内に固定されていることを
    特徴とする請求項58に冷却装置。
  63. 【請求項63】 前記冷却盤がボルトを用いて前記キ
    ャビティ内に固定されていることを特徴とする請求項6
    に記載の装置。
  64. 【請求項64】 前記キャビティを前記冷却装置を取
    り囲む真空の環境から密閉するための第1のOリング
    と、 前記キャビティを前記かつ入り口溝内の真空の環境から
    密閉するための第2のOリングとを更に有することを特
    徴とする請求項63に記載の装置。
  65. 【請求項65】 外部の電源からの熱エネルギを受容
    する基板の温度を制御する基板の温度制御方法であっ
    て、 その周縁部分に形成された環状の突出リングと、前記環
    状の突出リングの内側に形成されたキャビティとを備え
    た基板接触盤を有する基板冷却装置を提供する過程であ
    って、前記環状の突出リングが、複数の凸部と、凹部と
    を備えた中央部分を画定し、前記凹部が、前記キャビテ
    ィを形成し、前記複数の凸部の各々が、接触面において
    終息する、前記提供する過程と、 前記環状の突出リングの表面に前記基板を取り付ける過
    程と、 前記キャビティにガスを供給する過程と、 前記キャビティ内の前記ガスの圧力を制御する過程であ
    って、前記ガスの前記圧力によって前記基板の温度が制
    御され、前記凹部が前記中央部分の面積の80パーセン
    ト以上98パーセント以下の面積を占め、前記接触面が
    0.35μm以上3.0μm以下の範囲内の平均粗さ
    (Ra)を有することにより、前記熱エネルギの主要部
    分が前記ガスを介して前記基板から除去される、前記制
    御する過程とを有することを特徴とする基板の温度制御
    制御方法。
  66. 【請求項66】 前記基板接触盤を冷却する過程を更
    に有することを特徴とする請求項65に記載の基板の温
    度制御方法。
  67. 【請求項67】 前記ガスの漏れが、前記基板と前記
    環状の突出したリングの前記表面との間を通ることを特
    徴とする請求項65に記載の基板の温度制御方法。
JP3230097A 1996-02-16 1997-02-17 基板冷却装置及び化学蒸気反応装置並びに基板の温度制御制御方法 Expired - Fee Related JP3176305B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/602,432 US5810933A (en) 1996-02-16 1996-02-16 Wafer cooling device
US08/602,432 1996-02-16

Publications (2)

Publication Number Publication Date
JPH09232415A JPH09232415A (ja) 1997-09-05
JP3176305B2 true JP3176305B2 (ja) 2001-06-18

Family

ID=24411331

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3230097A Expired - Fee Related JP3176305B2 (ja) 1996-02-16 1997-02-17 基板冷却装置及び化学蒸気反応装置並びに基板の温度制御制御方法

Country Status (6)

Country Link
US (1) US5810933A (ja)
EP (1) EP0790641A1 (ja)
JP (1) JP3176305B2 (ja)
KR (1) KR970063549A (ja)
DE (1) DE790641T1 (ja)
TW (1) TW300312B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7646581B2 (en) 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
JP2011155170A (ja) * 2010-01-28 2011-08-11 Panasonic Corp プラズマ処理装置
US9795218B2 (en) 2013-12-04 2017-10-24 P.A.S. Corporation Cushion for chair and chair

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063710A (en) * 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
JPH10240356A (ja) 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
FR2769923B1 (fr) * 1997-10-17 2001-12-28 Cypress Semiconductor Corp Procede ameliore de metallisation a chaud
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6073681A (en) 1997-12-31 2000-06-13 Temptronic Corporation Workpiece chuck
US6328096B1 (en) * 1997-12-31 2001-12-11 Temptronic Corporation Workpiece chuck
US6019164A (en) * 1997-12-31 2000-02-01 Temptronic Corporation Workpiece chuck
TW439094B (en) * 1998-02-16 2001-06-07 Komatsu Co Ltd Apparatus for controlling temperature of substrate
JP4625183B2 (ja) 1998-11-20 2011-02-02 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェハのための急速加熱及び冷却装置
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
WO2001004945A1 (en) * 1999-07-08 2001-01-18 Lam Research Corporation Electrostatic chuck and its manufacturing method
US6406545B2 (en) 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
US6776875B2 (en) * 2000-02-28 2004-08-17 Applied Materials Inc. Semiconductor substrate support assembly having lobed o-rings therein
US6359267B1 (en) 2000-05-31 2002-03-19 Ameritherm, Inc. Induction heating system
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6967177B1 (en) * 2000-09-27 2005-11-22 Lsi Logic Corporation Temperature control system
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
US6628503B2 (en) * 2001-03-13 2003-09-30 Nikon Corporation Gas cooled electrostatic pin chuck for vacuum applications
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US7467598B2 (en) * 2001-04-09 2008-12-23 Tegal Corporation System for, and method of, etching a surface on a wafer
US20020155364A1 (en) * 2001-04-20 2002-10-24 David Trost Method and system to achieve thermal transfer between a workpiece and a heated body disposed in a chamber
JP3784274B2 (ja) * 2001-04-27 2006-06-07 京セラ株式会社 静電チャック
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
KR20030047341A (ko) * 2001-12-10 2003-06-18 삼성전자주식회사 이온주입장치의 정전척
KR100457833B1 (ko) * 2002-05-24 2004-11-18 주성엔지니어링(주) 플라즈마 식각 장치
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7208067B2 (en) * 2003-03-27 2007-04-24 Tokyo Electron Limited Method and system for monitoring RF impedance to determine conditions of a wafer on an electrostatic chuck
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US6735378B1 (en) * 2003-05-29 2004-05-11 Axcelis Technologies, Inc. Pressure controlled heat source and method for using such for RTP
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
KR100987304B1 (ko) * 2003-08-19 2010-10-12 주성엔지니어링(주) 정전척의 냉각 베이스
US6947274B2 (en) * 2003-09-08 2005-09-20 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on an electrostatic chuck using wafer inertial confinement by applying a single-phase square wave AC clamping voltage
US7072166B2 (en) * 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
US6992892B2 (en) * 2003-09-26 2006-01-31 Tokyo Electron Limited Method and apparatus for efficient temperature control using a contact volume
US6905984B2 (en) * 2003-10-10 2005-06-14 Axcelis Technologies, Inc. MEMS based contact conductivity electrostatic chuck
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
US6946403B2 (en) * 2003-10-28 2005-09-20 Axcelis Technologies, Inc. Method of making a MEMS electrostatic chuck
US20050118830A1 (en) * 2003-11-29 2005-06-02 Appleyard Nicholas J. Method of processing a workpiece
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
CN100452306C (zh) * 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4694249B2 (ja) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ 真空処理装置及び試料の真空処理方法
JP4783213B2 (ja) * 2005-06-09 2011-09-28 日本碍子株式会社 静電チャック
KR100713330B1 (ko) * 2005-07-13 2007-05-04 동부일렉트로닉스 주식회사 헬륨 리키지 오염을 방지시키는 정전척 장치
CN100419989C (zh) * 2005-12-05 2008-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP5003102B2 (ja) * 2006-10-27 2012-08-15 東京エレクトロン株式会社 静電チャックの診断方法、真空処理装置及び記憶媒体
US20080203081A1 (en) * 2006-12-01 2008-08-28 Honeywell International Inc. Variable thermal resistor system
JP4864757B2 (ja) 2007-02-14 2012-02-01 東京エレクトロン株式会社 基板載置台及びその表面処理方法
KR101119627B1 (ko) * 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP2009060011A (ja) * 2007-09-03 2009-03-19 Tokyo Electron Ltd 基板載置台、基板処理装置、及び温度制御方法
US9091491B2 (en) * 2008-02-22 2015-07-28 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
US7993462B2 (en) * 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
JP5025576B2 (ja) * 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
JP5280459B2 (ja) * 2008-12-25 2013-09-04 キヤノンアネルバ株式会社 スパッタリング装置
US8297342B2 (en) * 2009-06-29 2012-10-30 International Business Machines Corporation Heat sink assembly
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
JP2014522572A (ja) * 2011-06-02 2014-09-04 アプライド マテリアルズ インコーポレイテッド 静電チャックの窒化アルミ誘電体の修復方法
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
CN103149751B (zh) * 2013-02-19 2015-09-16 北京京东方光电科技有限公司 一种下部电极及其制作方法
US9422978B2 (en) 2013-06-22 2016-08-23 Kla-Tencor Corporation Gas bearing assembly for an EUV light source
US9275868B2 (en) 2013-07-19 2016-03-01 Globalfoundries Inc. Uniform roughness on backside of a wafer
JP6282080B2 (ja) * 2013-10-30 2018-02-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
JP6606595B2 (ja) * 2016-03-24 2019-11-13 株式会社Kokusai Electric 気化器、基板処理装置及び半導体装置の製造方法
WO2017176419A1 (en) * 2016-04-08 2017-10-12 Applied Materials, Inc. Vacuum chuck pressure control system
JP6675272B2 (ja) * 2016-06-07 2020-04-01 日本発條株式会社 冷却ユニットおよび冷却ユニットの製造方法
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
USD859484S1 (en) 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
CN109986459B (zh) * 2017-12-29 2021-04-06 山东浪潮华光光电子股份有限公司 一种用于led芯片衬底减薄工艺中贴片陶瓷盘的散热工装及其应用
KR102411272B1 (ko) * 2018-03-26 2022-06-22 엔지케이 인슐레이터 엘티디 정전척 히터
US11437261B2 (en) * 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
USD927575S1 (en) * 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
KR102188261B1 (ko) * 2019-08-02 2020-12-09 세미기어, 인코포레이션 기판 냉각 장치 및 방법
US11600492B2 (en) * 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
CN112981349B (zh) * 2021-02-19 2022-12-06 上海悦匠实业有限公司 一种低温深孔偏压溅射装置
CN113903699A (zh) * 2021-09-22 2022-01-07 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4909314A (en) * 1979-12-21 1990-03-20 Varian Associates, Inc. Apparatus for thermal treatment of a wafer in an evacuated environment
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
GB2106325A (en) * 1981-09-14 1983-04-07 Philips Electronic Associated Electrostatic chuck
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS59181622A (ja) * 1983-03-31 1984-10-16 Fujitsu Ltd 半導体装置の製造方法
JPS60261377A (ja) * 1984-06-08 1985-12-24 Nippon Telegr & Teleph Corp <Ntt> 静電チャックの製造方法
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
JPH0652758B2 (ja) * 1987-02-09 1994-07-06 日本電信電話株式会社 静電チヤツク
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
FR2661039B1 (fr) * 1990-04-12 1997-04-30 Commissariat Energie Atomique Porte-substrat electrostatique.
KR0157990B1 (ko) * 1990-06-18 1999-02-01 이노우에 키요시 처리 장치
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5255153A (en) * 1990-07-20 1993-10-19 Tokyo Electron Limited Electrostatic chuck and plasma apparatus equipped therewith
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
TW221318B (ja) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
JP3238925B2 (ja) * 1990-11-17 2001-12-17 株式会社東芝 静電チャック
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5366002A (en) * 1993-05-05 1994-11-22 Applied Materials, Inc. Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
JPH0786247A (ja) * 1993-09-16 1995-03-31 Hitachi Ltd 減圧雰囲気内における被処理物の処理方法及び処理装置
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5474614A (en) * 1994-06-10 1995-12-12 Texas Instruments Incorporated Method and apparatus for releasing a semiconductor wafer from an electrostatic clamp
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5528451A (en) * 1994-11-02 1996-06-18 Applied Materials, Inc Erosion resistant electrostatic chuck
US5572398A (en) * 1994-11-14 1996-11-05 Hewlett-Packard Co. Tri-polar electrostatic chuck
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US5517753A (en) * 1995-04-06 1996-05-21 International Business Machines Corporation Adjustable spacer for flat plate cooling applications

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7646581B2 (en) 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
JP2011155170A (ja) * 2010-01-28 2011-08-11 Panasonic Corp プラズマ処理装置
US9795218B2 (en) 2013-12-04 2017-10-24 P.A.S. Corporation Cushion for chair and chair

Also Published As

Publication number Publication date
JPH09232415A (ja) 1997-09-05
US5810933A (en) 1998-09-22
TW300312B (en) 1997-03-11
KR970063549A (ko) 1997-09-12
EP0790641A1 (en) 1997-08-20
DE790641T1 (de) 1998-04-09

Similar Documents

Publication Publication Date Title
JP3176305B2 (ja) 基板冷却装置及び化学蒸気反応装置並びに基板の温度制御制御方法
JP3129452B2 (ja) 静電チャック
EP0467390B1 (en) Support table for plate-like body and processing apparatus using the table
JP5560267B2 (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
JP4176848B2 (ja) 基板支持体及び処理装置
TW202044478A (zh) 載置台及基板處理裝置
US6549393B2 (en) Semiconductor wafer processing apparatus and method
US20040040933A1 (en) Wafer processing apparatus and a wafer stage and a wafer processing method
JP5324251B2 (ja) 基板保持装置
JP2008251742A (ja) 基板処理装置及びフォーカスリングを載置する基板載置台
JPH01251735A (ja) 静電チャック装置
JP2000031253A (ja) 基板処理装置及び方法
US20060037702A1 (en) Plasma processing apparatus
JPH11265931A (ja) 真空処理装置
KR100861261B1 (ko) 전열 구조체 및 기판 처리 장치
JP2007067037A (ja) 真空処理装置
JPH10223621A (ja) 真空処理装置
JPH0614520B2 (ja) 低圧雰囲気内の処理装置
JP3113796B2 (ja) プラズマ処理装置
JP2951903B2 (ja) 処理装置
JP2003243490A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
JP2003243492A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
JP2000252261A (ja) プラズマ処理装置
TW202231921A (zh) 用於減少斜面沉積的背側氣體洩漏

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees