JP2854733B2 - 遅延時間計算装置及び遅延時間計算方法 - Google Patents

遅延時間計算装置及び遅延時間計算方法

Info

Publication number
JP2854733B2
JP2854733B2 JP3211785A JP21178591A JP2854733B2 JP 2854733 B2 JP2854733 B2 JP 2854733B2 JP 3211785 A JP3211785 A JP 3211785A JP 21178591 A JP21178591 A JP 21178591A JP 2854733 B2 JP2854733 B2 JP 2854733B2
Authority
JP
Japan
Prior art keywords
calculating
delay time
delay
function block
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3211785A
Other languages
English (en)
Other versions
JPH0555384A (ja
Inventor
直子 大森
道夫 古茂田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP3211785A priority Critical patent/JP2854733B2/ja
Priority to KR1019920014722A priority patent/KR960012657B1/ko
Priority to US07/929,828 priority patent/US5515291A/en
Priority to DE4227251A priority patent/DE4227251C2/de
Publication of JPH0555384A publication Critical patent/JPH0555384A/ja
Application granted granted Critical
Publication of JP2854733B2 publication Critical patent/JP2854733B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明はMOSトランジスタか
らなる論理機能ブロックの入力から出力に至る信号伝播
遅延時間を計算する遅延時間計算装置及び遅延時間計算
方法に関する。
【0002】
【従来の技術】図4は従来の論理機能ブロック(以下、
「マクロセル」という。)間の遅延時間を計算する遅延
時間計算装置の構成を示すブロック図である。なお、遅
延時間計算装置とは、図5に示すように、論理機能ブロ
ック(以下、「マクロセル」という。)21,22間に
おいて、マクロセル21が入力信号S21を得て、出力
信号22(マクロセル22の入力信号S22)を出力す
るまでの信号伝播遅延時間DTを求める装置である。以
下、図5を参照して、図4で示した遅延時間計算装置の
説明を行う。
【0003】RC集中定数計算部1はレイアウトパター
ン格納ファイル2から、マクロセル21,22を含んだ
レイアウトパターン情報D2を取り込み、該レイアウト
パターン情報D2からマクロセル21,22間の実配線
長を抽出し、マクロセル21,22間の配線を分布定数
線路としてとらえ、マクロセル21,22間の実配線長
から、マクロセル21の出力(ピン)側配線部のRC集
中定数として抵抗集中定数R及び容量集中定数Cを算出
する。
【0004】遅延パラメータ検索部3はレイアウトパタ
ーン格納ファイル2から、レイアウトパターン情報D2
を取り込み、マクロセル21の種別を認識し、マクロセ
ル21に固有の固定遅延項K0を、遅延情報格納ファイ
ル4に格納された固定遅延情報D4から検索する。
【0005】出力インピーダンス検索部5はレイアウト
パターン格納ファイル2から、レイアウトパターン情報
D2を取り込み、マクロセル21の種別を認識し、マク
ロセル21に固有の固定出力インピーダンスRS0を、
遅延情報格納ファイル4に格納された固定遅延情報D4
から検索する。
【0006】遅延時間計算部6は各部1,3及び5でそ
れぞれ検索されたパラメータR,C,K0及びRS0に
基づき、所定の遅延計算式を演算することにより、マク
ロセル21の入力から出力に至るまでの遅延時間を計算
する。
【0007】
【発明が解決しようとする課題】従来の遅延時間計算装
置は以上のように構成されており、遅延時間を求める際
の1パラメータとなる論理機能ブロック(マクロセル2
1)の出力インピーダンスを論理機能ブロックの種類に
基づく固定値としていた。
【0008】しかしながら、実際には、マクロセル21
の出力インピーダンス及び出力負荷に対する固定遅延
固定でなく、マクロセルがMOSトランジスタで構成さ
れている場合、その出力インピーダンスはゲート電圧及
びドレイン電圧に依存することが知られている。
【0009】したがって、従来のように、出力インピー
ダンスをマクロセルごとの固定値としては、高精度に遅
延時間を計算することができないという問題点があっ
た。
【0010】また、従来方式で高精度な遅延時間をもと
めるべく、マクロセルごとの出力インピーダンスを、ゲ
ート電圧やドレイン電圧の違いによりさらに細分化する
と、固定遅延情報ファイル4に格納する容量が大幅に増
加してしまい現実的でない。
【0011】この発明は上記問題点を解決するためにな
されたもので、MOSトランジスタからなる論理機能ブ
ロックの入力から出力に至るまでの信号伝播遅延時間を
正確に計算することができる遅延時間計算装置及び方法
を得ることを目的とする。
【0012】
【課題を解決するための手段】この発明にかかる請求項
1記載の遅延時間計算装置は、MOSトランジスタから
なる論理機能ブロックの入力から出力に至るまでの信号
伝播遅延時間を計算する装置であって、前記論理機能ブ
ロックの入力部から出力部に至るまでのレイアウトパタ
ーンを含むレイアウトパターン情報を格納したレイアウ
トパターン格納手段と、種々の論理機能ブロックに対応
して、入力スルーレートの関数である少なくとも1つの
遅延パラメータを格納した遅延パラメータ格納手段と、
前記レイアウトパターン情報を前記レイアウトパターン
格納手段から取り込み、該レイアウトパターン情報に基
づき、前記論理機能ブロックの出力側配線部のRC集中
定数を算出するRC集中定数計算部と、前記論理機能ブ
ロックに対応した遅延パラメータを選択遅延パラメータ
として前記遅延パラメータ格納手段から取り込むととも
に、前記配置配線結果に基づき、前記論理機能ブロック
の入力スルーレートを求め、該入力スルーレートにおけ
る該選択遅延パラメータの値を選択遅延パラメータ決定
値として出力する遅延パラメータ決定手段と、前記RC
集中定数の容量成分及び前記選択遅延パラメータ決定値
に基づき、所定の出力インピーダンス計算式より前記論
理機能ブロックの出力インピーダンスを算出する出力イ
ンピーダンス計算手段と、前記RC集中定数及び前記出
力インピーダンスに基づき、所定の遅延時間計算式より
前記信号伝播遅延時間を計算する遅延時間計算手段とを
備えて構成されている。
【0013】また、請求項2記載の遅延時間計算方法
は、MOSトランジスタからなる論理機能ブロックの入
力から出力に至る信号伝播遅延時間を計算する方法であ
って、前記論理機能ブロックの入力部から出力部までの
レイアウトパターンを含むレイアウトパターン情報に基
づき、前記論理機能ブロックの出力側配線部のRC集中
定数を算出するステップと、前記論理機能ブロックに対
応して、入力スルーレートの関数である少なくとも1つ
遅延パラメータである選択遅延パラメータを抽出するス
テップと、前記配置配線結果に基づき、前記論理機能ブ
ロックの入力スルーレートを求め、前記選択遅延パラメ
ータに前記入力スルーレートを当てはめ、選択遅延パラ
メータ決定値を求めるステップと、前記RC集中定数の
容量成分及び前記選択遅延パラメータ決定値に基づき、
所定の出力インピーダンス計算式より前記論理機能ブロ
ックの出力インピーダンスを算出するステップと、前記
RC集中定数及び前記出力インピーダンスに基づき、所
定の遅延時間計算式より前記信号伝播遅延時間を計算す
るステップとを備えて構成されている。
【0014】
【作用】この発明における出力インピーダンス計算部
は、RC集中定数の容量成分及び選択遅延パラメータ決
定値に基づき、所定の出力インピーダンス計算式より論
理機能ブロックの出力インピーダンスを求めている。そ
して、上記選択遅延パラメータは、遅延パラメータ決定
手段により、論理機能ブロックの入力スルーレートに基
づき決定される。
【0015】したがって、論理機能ブロックの出力イン
ピーダンスは、その入力スルーレート及び出力容量成分
に基づき決定された値となる。
【0016】
【実施例】図1はこの発明の一実施例である入力から出
力に至るまでの遅延時間計算装置の構成を示すブロック
図である。なお、入力から出力に至るまでの遅延時間計
算装置とは、従来同様、図5に示すように、マクロセル
21,22間において、マクロセル21が入力信号S2
1を得て出力信号S22(マクロセル22の入力S2
2)を出力するまでの信号伝播遅延時間DTを求める装
置である。
【0017】マクロセル21をCMOSインバータと仮
定し、入力信号S21として、立ち下がり信号が入力す
る場合の出力側配線部のRCモデルの等価回路は図2に
示すようになる。同図において、RSがマクロセル21
の出力インピーダンス、Rがマクロセル21の出力側配
線部のRC集中定数の抵抗集中定数、Cがマクロセル2
1の出力側配線部のRC集中定数の容量集中定数であ
る。
【0018】この等価回路において、遅延時間DTは、
容量集中定数Cの充電過程で、ノードN1より得られる
マクロセル21の出力電圧VSが閾値電圧に等しくなる
までの時間として求めることができる。そこで、充電を
開始してからの時間をt、マクロセル21の電源電圧を
E、閾値計数をβとすると、出力電圧VSは下記のよう
に決定する。
【0019】
【数1】
【0020】また、VS=β・Eとなる時間tを遅延時
間DTとすると、固定遅延K0を考慮して、遅延時間D
Tは下記のように求められる。
【0021】
【数2】
【0022】一方、遅延パラメータK1〜K3に基づく
詳細遅延計算式を数3に示す如くモデル化できる。な
お、K1〜K3はスルレートtrの関数である。
【0023】
【数3】
【0024】一般に、マクロセル21の出力インピーダ
ンスRSは抵抗集中定数Rとの依存関係は無視できるた
め、数2においてR=0と近似しても差し支えない。そ
して、R=0で近似した数2と数3とを比較することに
より、固定遅延項K0=K1となるとともに、出力イン
ピーダンスRSは下記のように決定する。
【0025】
【数4】
【0026】つまり、マクロセル21の出力インピーダ
ンスRSは、従来のように、マクロセル21の種類ごと
の固定値ではなく、その入力スルーレートtrと出力側
配線部の容量集中定数Cにより決定される値となる。ま
た、入力スルーレートtrはMOSトランジスタに印加
されるゲート電圧と相関関係があり、出力側配線部の容
量集中定数CはMOSトランジスタのドレイン電圧に相
関関係があるため、出力インピダンスRSは、MOSト
ランジスタのゲート電圧及びドレイン電圧の変化を考慮
して計算された精度の高い値となる。
【0027】以下、図5を参照して、図1で示した遅延
時間計算装置の説明を行う。
【0028】RC集中定数計算部11はレイアウトパタ
ーン格納ファイル12から、マクロセル21,22を含
んだレイアウトパターン情報D12を取り込み、該レイ
アウトパターン情報D12からマクロセル21,22間
の実配線長を抽出し、マクロセル21,22間の配線を
分布定数線路としてとらえ、マクロセル21,22間の
実配線長から、マクロセル21の出力(ピン)側配線部
のRC集中定数として抵抗集中定数R及び容量集中定数
Cを算出する。
【0029】遅延パラメータ計算部13はレイアウトパ
ターン格納ファイル12から、レイアウトパターン情報
D12を取り込み、マクロセル21の種類を認識し、遅
延パラメータ格納ファイル14に格納された遅延パラメ
ータ情報D14から、マクロセル21に対応した少なく
とも1つの遅延パラメータ関数K(tr)(図2で示し
たモデルでは、数3のK1〜K3に相当)を検索する。
なお、trは入力信号S21のスルーレートである。
【0030】さらに、遅延パラメータ計算部13は、レ
イアウトパターン情報D12からマクロセル21の入力
側の総容量を算出し、該総容量値に基づきマクロセル2
1の入力信号S21のスルーレートtr(V/nS)を
計算し、スルレートtrに基づき、検索した遅延パラメ
ータ関数K(tr)を計算することにより、遅延パラメ
ータKを決定する。
【0031】出力インピーダンス計算部15は、RC集
中定数計算部11から得た容量集中定数Cと、遅延パラ
メータ計算部13から得た固定遅延項を除く遅延パラメ
ータKに基づき、数4で示したような計算式を演算する
ことにより、マクロセル21の出力インピーダンスRS
を計算する。
【0032】遅延時間計算部16はRC集中定数計算部
11から得た容量集中定数C及び抵抗集中定数R、遅延
パラメータ計算部13から得た固定遅延項に相当する遅
延パラメータK及び出力インピーダンス計算部13より
得た出力インピーダンスRSに基づき、数2で示したよ
うな計算式を演算することにより、マクロセル11の入
力から出力に至るまでの遅延時間DTを計算する。
【0033】図3は、図1で示した遅延時間計算装置の
遅延時間計算動作を示すフローチャートである。
【0034】同図を参照して、ステップS1で、RC集
中定数計算部11がレイアウトパターン格納ファイル1
2から取り込んだレイアウトパターン情報D12からマ
クロセル21,22間の実配線長に基づき、マクロセル
21の出力側配線部のRC集中定数として抵抗集中定数
R及び容量集中定数Cを算出する。
【0035】そして、ステップS2で、遅延パラメータ
計算部13が、レイアウトパターン格納ファイル12か
らレイアウトパターン情報D12を取り込み、マクロセ
ル21の種類を認識し、マクロセル21に対応した少な
くとも1つの遅延パラメータ関数K(tr)を、遅延パ
ラメータ格納ファイル14に格納された遅延パラメータ
情報D14から検索する。
【0036】さらに、ステップS3で、遅延パラメータ
計算部13は、レイアウトパターン情報D12からマク
ロセル21の入力側の総容量を算出し、該総容量値に基
づきマクロセル21の入力信号S21のスルーレートt
rを計算し、スルーレートtrに基づき遅延パラメータ
関数K(tr)を計算することにより、遅延パラメータ
Kを決定する。
【0037】そして、ステップS4で、出力インピーダ
ンス計算部15は、RC集中定数計算部11から得た容
量集中定数Cと、遅延パラメータ計算部13から得た固
定遅延項以外の遅延パラメータKに基づき、数4で示す
ような計算式を演算することにより、マクロセル21の
出力インピーダンスRSを計算する。
【0038】その後、ステップS5で、遅延時間計算部
6はRC集中定数計算部11から得た容量集中定数C及
び抵抗集中定数R、遅延パラメータ計算部13から得た
固定遅延項に相当する遅延パラメータK及び出力インピ
ーダンス計算部13より得た出力インピーダンスRSに
基づき、数2で示すような計算式を演算することによ
り、マクロセル11の入力から出力に至るまでの遅延時
間DTを計算する。
【0039】このように、遅延時間DTを求める際、そ
の遅延時間計算式の重要なパラメータとなるマクロセル
21の出力インピーダンスRSを、MOSトランジスタ
のゲート電圧及びドレイン電圧にそれぞれ依存性のある
入力スルーレート及び出力配線容量に基づき正確に求め
たため、遅延時間DTの精度を従来に比べ飛躍的に高め
ることができる。さらに、固定遅延項(数3のK3等)
も固定値でなく、入力スルーレートtrに基づき計算す
るようにしたため、その分、遅延時間DTの精度が向上
する。また、遅延パラメータK個々はを入力スルーレー
トtrに基づく関数にしたため、遅延パラメータファイ
ル14に格納すべき容量は従来に比べてさほど増えな
い。
【0040】なお、マクロセル21の出力インピーダン
スRSを求める際に用いる詳細遅延計算式を数3に示す
以外に、例えば、下記の数5に示す如くモデル化するこ
ともできる。なお、Ciはスルレートtrの関数で
り、A1〜A4は定数である。
【0041】
【数5】
【0042】この場合、R=0で近似した数2と数5と
を比較することにより、固定遅延項K0=A4とすると
ともに、出力インピーダンスRSを下記のように決定す
ることになる。
【0043】
【数6】
【0044】また、本実施例では、図2示すように、マ
クロセル21のRC集中定数を便宜的にR,C1個づつ
としたが、それぞれ2つ以上のR,Cによって、集中定
数回路へ近似するRCモデルにも、本発明を適用可能で
ある。
【0045】
【発明の効果】以上説明したように、請求項1記載の遅
延時間計算装置によれば、出力インピーダンス計算部に
より、RC集中定数の容量成分及び選択遅延パラメータ
決定値に基づき、所定の出力インピーダンス計算式より
論理機能ブロックの出力インピーダンスを求めている。
そして、上記選択遅延パラメータは、遅延パラメータ決
定手段により、論理機能ブロックの入力スルーレートに
基づき求められる。
【0046】論理機能ブロックの入力スルーレート及び
出力側配線部のRC集中定数の容量成分はそれぞれMO
Sトランジスタのゲート電圧及びドレイン電圧と相関が
あるため、その入力スルーレート及び容量成分に基づき
可変に決定される論理機能ブロックの出力インピーダン
スは、MOSトランジスタのゲート電圧及びドレイン電
圧に対応した精度の高い値となる。
【0047】その結果、出力インピーダンスを重要なパ
ラメータとした遅延時間計算式により計算される、論理
機能ブロックの入力から出力に至るまでの遅延時間の精
度は向上する。
【0048】また、請求項2記載の遅延時間計算方法に
よれば、請求項1記載の遅延時間計算装置と同様にし
て、論理機能ブロックの出力インピーダンスを、MOS
トランジスタのゲート電圧及びドレイン電圧の変化に対
応して精度よく求めることにより、論理機能ブロックの
入力から出力に至るまでの遅延時間を正確に計算するこ
とができる。
【図面の簡単な説明】
【図1】この発明の一実施例である遅延時間計算装置を
示すブロック図である。
【図2】マクロセルの出力配線部のRCモデルを示す等
価回路図である。
【図3】図1で示した遅延時間計算装置の動作を示すフ
ローチャートである。
【図4】従来の遅延時間計算装置を示すブロック図であ
る。
【図5】マクロセル間の信号伝播遅延を説明するブロッ
ク説明図である。
【符号の説明】
11 RC集中定数計算部 12 レイアウトパターン格納ファイル 13 遅延パラメータ計算部 14 遅延パラメータ格納ファイル 15 出力インピーダンス計算部 16 遅延時間計算部
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.6,DB名) H01L 21/82 G06F 17/50

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 MOSトランジスタからなる論理機能ブ
    ロックの入力から出力に至る信号伝播遅延時間を計算す
    る遅延時間計算装置であって、 前記論理機能ブロックの入力部から出力部に至るまでの
    レイアウトパターンを含むレイアウトパターン情報を格
    納したレイアウトパターン格納手段と、 種々の論理機能ブロックに対応して、入力スルーレート
    の関数である少なくとも1つの遅延パラメータを格納し
    た遅延パラメータ格納手段と、前記レイアウトパターン情報を前記レイアウトパターン
    格納手段から取り込み、該レイアウトパターン情報 に基
    づき、前記論理機能ブロックの出力側配線部のRC集中
    定数を算出するRC集中定数計算部と、 前記論理機能ブロックに対応した遅延パラメータを選択
    遅延パラメータとして前記遅延パラメータ格納手段から
    取り込むとともに、前記レイアウトパターン情報を前記
    レイアウトパターン格納手段から取り込み、該レイアウ
    トパターン情報に基づき、前記論理機能ブロックの入力
    スルーレートを求め、該入力スルーレートにおける該選
    択遅延パラメータの値を選択遅延パラメータ決定値とし
    て出力する遅延パラメータ決定手段と、 前記RC集中定数の容量成分及び前記選択遅延パラメー
    タ決定値に基づき、所定の出力インピーダンス計算式よ
    り前記論理機能ブロックの出力インピーダンスを算出す
    る出力インピーダンス計算手段と、 前記RC集中定数及び前記出力インピーダンスに基づ
    き、所定の遅延時間計算式より前記信号伝播遅延時間を
    計算する遅延時間計算手段とを備えた遅延時間計算装
    置。
  2. 【請求項2】 MOSトランジスタを含む論理機能ブロ
    ックの入力から出力に至るまでの信号伝播遅延時間を計
    算する遅延時間計算方法であって、 前記論理機能ブロックの入力部から出力部までのレイア
    ウトパターンを含むレイアウトパターン情報に基づき、
    前記論理機能ブロックの出力側配線部のRC集中定数を
    算出するステップと、 前記論理機能ブロックに対応して、入力スルーレートの
    関数である少なくとも1つの遅延パラメータである選択
    遅延パラメータを抽出するステップと、 前記配置配線結果に基づき、前記論理機能ブロックの入
    力スルーレートを求め、前記選択遅延パラメータに前記
    入力スルーレートを当てはめ、選択遅延パラメータ決定
    値を求めるステップと、 前記RC集中定数の容量成分及び前記選択遅延パラメー
    タ決定値に基づき、所定の出力インピーダンス計算式よ
    り前記論理機能ブロックの出力インピーダンスを算出す
    るステップと、 前記RC集中定数及び前記出力インピーダンスに基づ
    き、所定の遅延時間計算式より前記信号伝播遅延時間を
    計算するステップとを備えた遅延時間計算方法。
JP3211785A 1991-08-23 1991-08-23 遅延時間計算装置及び遅延時間計算方法 Expired - Fee Related JP2854733B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP3211785A JP2854733B2 (ja) 1991-08-23 1991-08-23 遅延時間計算装置及び遅延時間計算方法
KR1019920014722A KR960012657B1 (ko) 1991-08-23 1992-08-14 지연시간 계산장치 및 지연시간 계산방법
US07/929,828 US5515291A (en) 1991-08-23 1992-08-14 Apparatus for calculating delay time in logic functional blocks
DE4227251A DE4227251C2 (de) 1991-08-23 1992-08-18 Verfahren zum Berechnen einer Verzögerungszeit und Einrichtung zum Durchführen des Verfahrens

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3211785A JP2854733B2 (ja) 1991-08-23 1991-08-23 遅延時間計算装置及び遅延時間計算方法

Publications (2)

Publication Number Publication Date
JPH0555384A JPH0555384A (ja) 1993-03-05
JP2854733B2 true JP2854733B2 (ja) 1999-02-03

Family

ID=16611567

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3211785A Expired - Fee Related JP2854733B2 (ja) 1991-08-23 1991-08-23 遅延時間計算装置及び遅延時間計算方法

Country Status (4)

Country Link
US (1) US5515291A (ja)
JP (1) JP2854733B2 (ja)
KR (1) KR960012657B1 (ja)
DE (1) DE4227251C2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103163449A (zh) * 2013-04-01 2013-06-19 河海大学常州校区 信号电路时延检测系统

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3207989B2 (ja) * 1993-12-21 2001-09-10 三菱電機株式会社 遅延時間計算装置
US5761076A (en) * 1994-04-19 1998-06-02 Hitachi, Ltd. Method for evaluating a driving characteristic of a device for a wiring, based upon lower order coefficients of series expansion form of complex admittance of the wiring
US5703798A (en) * 1995-04-25 1997-12-30 Mentor Graphics Corporation Switch level simulation employing dynamic short-circuit ratio
US6202195B1 (en) 1996-07-26 2001-03-13 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit layout method
JPH1049561A (ja) * 1996-08-07 1998-02-20 Mitsubishi Electric Corp 信号遅延計算方法
US5896300A (en) * 1996-08-30 1999-04-20 Avant| Corporation Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits by filtering timing error bounds for layout critical nets
JPH1092942A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体集積回路の最適化装置とその最適化方法
JP3938220B2 (ja) * 1996-11-29 2007-06-27 富士通株式会社 大規模集積回路装置の製造方法及び大規模集積回路装置
JP3925980B2 (ja) * 1997-03-04 2007-06-06 株式会社ルネサステクノロジ ゲート遅延計算装置およびゲート遅延計算方法
US6166576A (en) * 1998-09-02 2000-12-26 Micron Technology, Inc. Method and apparatus for controlling timing of digital components
US7082587B2 (en) * 2001-12-18 2006-07-25 Cadence Design Systems, Inc. Method of estimating path delays in an IC
WO2005101285A1 (en) * 2004-04-12 2005-10-27 University Of Florida Research Foundation, Inc. Time-mode analog computation circuits and methods
US7185298B2 (en) * 2004-12-17 2007-02-27 Lsi Logic Corporation Method of parasitic extraction from a previously calculated capacitance solution

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4342089A (en) * 1976-09-02 1982-07-27 Genrad, Inc. Method of and apparatus for automatic measurement of circuit parameters with microprocessor calculation techniques
US4196475A (en) * 1976-09-02 1980-04-01 Genrad, Inc. Method of and apparatus for automatic measurement of impedance or other parameters with microprocessor calculation techniques
US4263651A (en) * 1979-05-21 1981-04-21 International Business Machines Corporation Method for determining the characteristics of a logic block graph diagram to provide an indication of path delays between the blocks
US4587480A (en) * 1982-06-17 1986-05-06 Storage Technology Partners Delay testing method for CMOS LSI and VLSI integrated circuits
JPS6050940A (ja) * 1983-08-31 1985-03-22 Toshiba Corp 半導体集積回路
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
JPH01163840A (ja) * 1987-12-21 1989-06-28 Nec Corp 遅延時間チエック方式
JP2550138B2 (ja) * 1988-03-18 1996-11-06 株式会社日立製作所 バイポーラトランジスタと電界効果トランジスタとを有する半導体集積回路装置
DE3910507A1 (de) * 1989-04-01 1990-10-04 Asea Brown Boveri Verfahren und vorrichtung zur pruefung des zeitlichen verhaltens von digitalen schaltkreisen
US5202841A (en) * 1989-07-14 1993-04-13 Mitsubishi Denki Kabushiki Kaisha Layout pattern verification system
US5231588A (en) * 1989-08-15 1993-07-27 Advanced Micro Devices, Inc. Programmable gate array with logic cells having symmetrical input/output structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103163449A (zh) * 2013-04-01 2013-06-19 河海大学常州校区 信号电路时延检测系统
CN103163449B (zh) * 2013-04-01 2016-04-06 河海大学常州校区 信号电路时延检测系统

Also Published As

Publication number Publication date
DE4227251A1 (de) 1993-02-25
KR930004877A (ko) 1993-03-23
DE4227251C2 (de) 1998-08-27
US5515291A (en) 1996-05-07
JPH0555384A (ja) 1993-03-05
KR960012657B1 (ko) 1996-09-23

Similar Documents

Publication Publication Date Title
JP2854733B2 (ja) 遅延時間計算装置及び遅延時間計算方法
US6047247A (en) Method of estimating degradation with consideration of hot carrier effects
JPH10124563A (ja) 論理回路の遅延計算方法、その遅延計算装置及び遅延ライブラリの遅延データ計算方法
JPH0660139A (ja) Mosトランジスタの論理機能ブロックの消費電力計算装置
US7240304B2 (en) Method for voltage drop analysis in integreted circuits
JP2784104B2 (ja) タイミングシミュレーションシステム
US7594209B2 (en) Method for incorporating Miller capacitance effects in digital circuits for an accurate timing analysis
JP2996214B2 (ja) 半導体集積回路の信頼性検証装置及び検証方法並びに検証プログラムを格納した記憶媒体
US6671862B1 (en) Method and apparatus for simplifying a circuit model
Menezes et al. Simultaneous gate and interconnect sizing for circuit-level delay optimization
JP4462745B2 (ja) 遅延時間計算方法及び記録媒体
US7283942B1 (en) High speed techniques for simulating circuits
Mansour et al. Modified Sakurai-Newton current model and its applications to CMOS digital circuit design
JP3296320B2 (ja) ゲート遅延計算装置、及びゲート遅延計算プログラムを記録した記録媒体
Park et al. Analytical model for switching transitions of submicron CMOS logics
US7496491B2 (en) Delay calculation method capable of calculating delay time with small margin of error
US6606733B2 (en) Method and system for finding static NAND and NOR gates within a circuit and identifying the constituent FETs each gate
JP3054109B2 (ja) 論理回路の遅延計算方法、その遅延計算装置及び遅延ライブラリの遅延データ計算方法
JP2940467B2 (ja) 出力負荷容量計算方法
Teng et al. iRULE: Fast hot-carrier reliability diagnosis using macro-models
JP3948536B2 (ja) ゲート遅延計算装置
JP3196924B2 (ja) 相補型多入力論理回路の遅延時間解析方法
Lin et al. An accurate and efficient delay model for CMOS gates in switch-level timing analysis
JP3746699B2 (ja) 半導体集積回路の解析システム
JPH11338893A (ja) 容量計算方法

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees