JP2022027604A - 集積回路素子 - Google Patents

集積回路素子 Download PDF

Info

Publication number
JP2022027604A
JP2022027604A JP2021123517A JP2021123517A JP2022027604A JP 2022027604 A JP2022027604 A JP 2022027604A JP 2021123517 A JP2021123517 A JP 2021123517A JP 2021123517 A JP2021123517 A JP 2021123517A JP 2022027604 A JP2022027604 A JP 2022027604A
Authority
JP
Japan
Prior art keywords
metal
region
gate
intervening layer
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021123517A
Other languages
English (en)
Inventor
伯堅 康
Po-Zeng Kang
文昇 周
Wen-Shen Chou
永州 彭
Eishu Ho
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022027604A publication Critical patent/JP2022027604A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3953Routing detailed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/08Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices
    • H03K19/094Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors
    • H03K19/0944Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET
    • H03K19/09441Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET of the same canal type
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Figure 2022027604000001
【課題】前段プロセス(FEOL)素子としての負荷抵抗器を含む集積回路素子を提供する。
【解決手段】集積回路素子100は、アクティブ領域AAX及びアクティブ領域AADの間のゲート構造と、アクティブ領域AAXを上から覆うS/D金属部分SDMXと、のアクティブ領域AADを上から覆うS/D金属部分SDMDと、を含むトランジスタを備える。S/D金属部分SDMR1を含む負荷抵抗器は、誘電体層FOX1に位置決めされかつS/D金属部分SDMX及びS/D金属部分SDMDと同じ層に位置する。介在層孔V3は、S/D金属部分SDMXを上から覆う。介在層孔V1及び介在層孔V2は、S/D金属部分SDMR1を上から覆い、かつ、第1の導電構造MS2は、介在層孔V3をの介在層孔V2に電気的に接続するために用いられる。
【選択図】図1B

Description

本明細書は集積回路素子に関し、特に前段プロセス素子としての負荷抵抗器を含む集積回路素子である。
集積回路(integrated circuit、IC)は負荷抵抗器を含むことにより回路能力を金属酸化物半導体(metal oxide semiconductor、MOS)トランジスタの提供する能力以外に拡張する場合がある。電流モード論理(current mode logic、CML)及び他の回路は常に負荷抵抗に依存して印加された電流に基づいて電圧降下を生成する。
ICは一般的に一つ又は複数のICレイアウトパターンに基づいて製造された多くのIC素子を含む。ICレイアウトパターンは階層式であり、かつIC素子の設計仕様に基づいてより高いレベルの機能を実行するモジュールを含む。これらのモジュールは常にユニットの組み合わせで構成され、そのうち各モジュールは特定の機能を実行するための一つ又は複数のIC構造を示す。
より高いレベルのモジュールを形成しかつ外部接続を実現するために、ユニット及び他のIC特徴は複数の上部金属被覆層に形成された相互接続構造により互いに配線される。ユニット配置及び相互接続配線はIC素子の全体設計プロセスの一部である。様々な電子設計自動化(electronic designAutomation、EDA)ツールはIC素子の設計を生成し、修正し検証し、同時に設計及び製造仕様に合致することを確保するために用いられる。
本開示のいくつかの実施例は集積回路素子を開示する。集積回路素子は、トランジスタと、負荷抵抗と、第1の介在層孔と、第2の介在層孔と、第3の介在層孔と、第1の導電構造とを含む。トランジスタは、第1のアクティブ領域と第2のアクティブ領域との間のゲート構造と、第1のアクティブ領域を上から覆う第1のソース/ドレインメタル部分と、第2のアクティブ領域を上から覆う第2のソース/ドレインメタル部分と、を含む。負荷抵抗器は、誘電体層に位置決めされかつ第1のソース/ドレインメタル部分及び第2のソース/ドレインメタル部分と同じ層に位置する第3のソース/ドレインメタル部分を含む。第1の介在層孔は、第1のソース/ドレイン金属部分を上から覆う。第2の介在層孔及び第3の介在層孔は、第3のソース/ドレインメタル部分を上から覆う。第1の導電構造は、第1の介在層孔を第2の介在層孔に電気的に接続するために用いられる。
添付図面を参照しながら読むと、以下の詳細な説明から本開示のいくつかの実施例の態様を最適に理解することができる。注意すべきことは、業界の標準的な実務に基づいて、様々な特徴を比率に応じて描画しない。実際に、明確に説明するために、様々な特徴のサイズを任意に増加させるか又は減少させることが
いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の概略図である。 いくつかの実施例に係るIC部品の概略図である。 いくつかの実施例に係るIC部品の概略図である。 いくつかの実施例に係るIC部品の概略図である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC部品の図面である。 はいくつかの実施例に係るIC部品の図面である。 いくつかの実施例に係るIC素子を操作する方法のフローチャートである。 いくつかの実施例に係る様々な製造段階にあるIC素子の図面である。 いくつかの実施例に係る様々な製造段階にあるIC素子の図面である。 いくつかの実施例に係る様々な製造段階にあるIC素子の図面である。 いくつかの実施例に係る様々な製造段階にあるIC素子の図面である。 いくつかの実施例に係るIC素子を製造する方法のフローチャートである。 いくつかの実施例に係るICレイアウトパターンを生成する方法のフローチャートである。 いくつかの実施例に基づいてICレイアウトパターンを示す。 いくつかの実施例に基づいてICレイアウトパターンを示す。 いくつかの実施例に係る電子設計自動化(EDA)システムのブロック図である。 いくつの実施形態に係るIC製造システム及びそれに関連するIC製造フローのブロック図である。
以下の開示内容は多くの異なる実施例又は実施例を提供することにより、提供された標の異なる特徴を実施する。以下、部品、材料、値、ステップ、動作、配置又は類似な特定例を説明し、本発明の実施の形態を簡単に説明する。当然、これらは例示的のみでありかつ限定的ではない。その他の部品、値、動作、材料、配置又は類似なものは想定される。例えば、以下の説明において、第1の特徴が第2の特徴の上方又は第2の特徴に形成されることは、直接接触することで第1の特徴及び第2の特徴を形成する実施例を含んで、且つ第1の特徴と第2の特徴との間に別の特徴を形成することにより第1の特徴と第2の特徴が直接接触して形成もよい。また、本開示のいくつか実施例は各実施例において素子符号及び/又はアルファベットを繰り返してもよい。この繰り返しは説明を簡略化しかつ明瞭な目的を達成するためであり、かつ自体が述べた各実施例及び/又は配置の間の関係を指示しない。
また、説明を容易にするために、本明細書は空間相対的用語(例えば「…の下」、「…の下方」、「下部」、「…上方」、「上部」及び類似なもの)を使用して各図に図示された一つの素子又は特徴と他の素子(又は複数の素子)又は特徴(又は複数の特徴)との関係を説明することができる。諸図に描かれた指向性の以外に、空間相対的用語は使用又は操作中の素子の異なる配向を含むことを意図する。装置は他の方式で(90度回転するか又は他の方向にある)方向を特定するので本明細書で使用された空間相対的説明語を同様に解読することができる。
以下に提供された対象の異なる特徴を実施するための多くの異なる実施例又は実例を提供する。以下に、本願の実施の形態の一部を簡略化するために、構成要素、数値、作用、材料、配置等の具体例を示す。当然のことながら、これらは単に実施例であり、かつ限定するものではない。その他の構成要素、数値、動作、材料、配置等は、想定される。例えば、以下の説明において第1の特徴が第2の特徴の上又は第2の特徴の上に形成されることはそのうち第1の特徴と第2の特徴が直接接触するように形成される実施例を含むことができ、かつそのうち追加の特徴が第1の特徴と第2の特徴との間に形成されることにより第1の特徴と第2の特徴が直接接触しないように形成される実施例を含むことができる。また、本開示のいくつかの実施例は様々な実施例において要素符号及び/又はアルファベットを繰り返すことができる。この繰り返しは簡略化及び明確化の目的であり、かつそれ自体は説明された様々な実施例及び/又は配置の間の関係を示さない。
また、簡単に説明するために、本明細書で「下にある」、「下にある」、「下にある」、「下にある」、「上にある」、「上にある」及びその類似用語のような空間相対用語を使用することにより、様々な図に示された一つの素子又は特徴と別の(別の)素子又は特徴との関係を説明することができる。各図に示された指向性以外に、これらの空間相対用語は素子の使用中又は操作中の異なる指向性をカバーしようとする。装置は他の方式で配向(90度回転するか又は他の配向)することができ、かつ同様に本明細書に使用される空間相対説明語を対応して解釈することができる。
様々な実施例において、IC素子はIC(例えば、CML回路)の負荷抵抗として用いられるソース/ドレイン(source/drain、S/D)金属部分を含む。ここで他の構造(例えば、例えば薄膜抵抗器の後段プロセス(back-end-of-line、BEOL)構造)を負荷抵抗器として用いる方法と比較して、S/D部分及び他の前段プロセス(front-end-of-line、FEOL)素子(例えば、MOSトランジスタ)を含むことにより、IC素子は減少した面積要件及び寄生容量を有し、かつこれにより減少した電力及び増大した速度を有する。いくつかの実施例において、負荷抵抗器を含むIC素子がFEOL素子であるため、対応するICレイアウトは負荷抵抗器がFEOL素子ではない方法におけるICレイアウトより拡張しやすい。
図1A~図1Gはいくつかの実施例に係るIC素子100の図面であり、このIC素子100は負荷抵抗として用いられるS/D金属部SDMR1を含み、この負荷抵抗はMOSトランジスタに含まれるS/D金属部SDMXに電気的に接続される。様々な実施例において、IC素子100は方法500及び/又は600の操作のうちの一部又は全部を実行することにより形成され、及び/又は以下の図4A~図7Bに関して説明されたICレイアウトパターン700A又は700Bに基づいて配置される。いくつかの実施例において、IC素子100は以下の図9に関して説明するIC製造業者/製造業者(「ウェハ製造業者」)950により製造されたIC素子960に含まれる。
様々な実施例において、以下にさらに説明するように、一つ又は複数のS/D金属部分(例えば、S/D金属部分SDMR1)は対応する誘電体層(例えば、誘電体層FOX1)に位置決めされることにより負荷抵抗器として用いられ、これにより一つ又は複数の基板部分(例えば、アクティブ領域AAX)と電気的に分離され、かつ少なくとも二つの誘電体層孔(例えば、誘電体層孔V1及びV2)に電気的に接続され、この少なくとも二つの誘電体層孔はこれにより一つ又は複数のS/D金属部分を他のIC素子に電気的に接続することができる負荷抵抗器端子に対応する。
図1A~図1CはそのうちIC素子100が単一の負荷抵抗として用いられる単一のS/D金属部分を含む実施例を示し、図1D及び図1EはそのうちIC素子100が単一の負荷抵抗として用いられる複数のS/D金属部分を含む実施例を示し、図1FはそのうちIC素子100が単一の負荷抵抗として用いられる単一の、拡張されたS/D金属部分を含む実施例を示し、かつ図1GはそのうちIC素子100がCML回路として用いられる複数の負荷抵抗として用いられる複数のS/D金属部分を含む実施例を示す。
図1A~図1Cに示す実施例において、図1AはIC素子100の平面図を示し、この平面図はX及びY方向、Y方向に沿って平面A-A’との交点、及びX方向に沿って平面B-B’との交点を含む。図1BはIC素子100のY方向及びZ方向を含む平面A-A’に沿う断面図を示し、かつ図1CはIC素子100のX方向及びZ方向を含む平面B-B’に沿う断面図を示す。
図1D~図1Gの平面図に示された実施例のそれぞれにおいて、IC素子100は図1B及び図1Cに示されたそれら(図1Aに示された平面図に対応する)に類似する断面輪郭を有する対応する特徴を含む。したがって、図1D~図1Gに示された実施例に対応する横断面輪郭をさらに描画しない。
図1A~図1Cに示す実施例において、IC素子100はゲート構造GA1~GA4の列RAを含み、列RAはゲート構造GB1~GB4の列RBに隣接する。ゲート構造GA1-GA4、GB1-GB4の各々は、Y方向に延在しており、基板100Bを覆っている。基板100Bのアクティブ領域AAXは、隣り合うゲート構造GA1、GA2、GA3、GA4、GB1、GB2、GB2、GB3、GB3、GB4のペアの間に延在している。S/D金属部SDMXはY方向に延在しかつアクティブ領域AAXのそれぞれを覆い、かつS/D金属部SDMR1はY方向にゲート構造GA2とGA3との間に延在しかつ誘電体層FOX1を覆う。
図1A~図1Cに示された実施例において、ゲート構造GD(いくつかの実施例においてダミーゲート構造GDとも呼ばれる)は列RAのゲート構造GA1~GA4及び列RBのゲート構造GB1~GB4と正及び負X方向でかつ正及び負Y方向で整列する。アクティブ領域AAD(いくつかの実施例においてダミーアクティブ領域AADとも呼ばれる)はアクティブ領域AAXと正及び負Y方向に位置合わせされ、かつS/D金属部分SDMD(いくつかの実施例においてダミーS/D金属部分SDMDとも呼ばれる)はS/D金属部分SDMX及びSDMRと正及び負Y方向に位置合わせされる。いくつかの実施例において、一つ又は複数の追加のアクティブ領域AAD(図示せず)及び/又は一つ又は複数の追加のS/D金属部分SDMD(図示せず)は列RA及び/又はRBと正及び/又は負X方向に位置合わせされる。誘電体層FOXは、ゲート構造GD、活性領域AADおよびS/Dメタル部SDMDの各例と、ゲート構造GA1-GA4およびGB1-GB4、活性領域AAXおよびS/Dメタル部SDMXおよびSDMRの各例との間に位置している。
これにより、ゲート構造GD、アクティブ領域AADおよびS/Dメタル部SDMDは、ゲート構造GA1-GA4およびGB1-GB4、アクティブ領域AAXおよびS/Dメタル部SDMXおよびSDMRを一括して取り囲む。いくつかの実施例において、ゲート構造GD、アクティブ領域AAD及びS/D金属部分SDMDはダミー領域DZと呼ばれる。いくつかの実施例において、ダミー領域DZはゲート構造GD、アクティブ領域AAD及びS/D金属部分SDMDのサブセットを含む。いくつかの実施例において、IC素子100はゲート構造GD、アクティブ領域AAD及びS/D金属部分SDMDを含まず、かつこれによりダミー領域DZを含まない。
説明の目的のために、図1A~図1Cにゲート構造GD、アクティブ領域AAD及びS/D金属部分SDMDのそれぞれゲート構造GA1~GA4及びGB1~GB4、アクティブ領域AAX及びS/D金属部分SDMX及びSDMRに対するそれらの大きさの大きさを示す。様々な実施例において、ゲート構造GD、アクティブ領域AAD及びS/D金属部分SDMDのうちの一つ又は複数は図1A~図1Cに示された大きさと異なるゲート構造GA1~GA4及びGB1~GB4、アクティブ領域AAX及びS/D金属部分SDMX及びSDMRのうちの対応する大きさを有する。
図1A~図1Cに示された実施例において、IC素子100は四つのゲート構造の二つの列を含み、各列はダミー領域DZに囲まれる。様々な実施例において、IC素子100は列RA又はRBのうちの単一の列又は列RA及びRB以外の一つ又は複数の列(図1A~図1Fに図示せず)を取り囲むダミー領域DZを含み、及び/又は各列に四つ以下のゲート構造(例えば、ゲート構造GA1~GA4又はGB1~GB4)を含む。
介在層孔V1及びV2にS/D金属部分SDMR1が被覆されかつS/D金属部分SDMR1に電気的に接続され、介在層孔V3にS/D金属部分SDMXの一例が被覆されかつS/D金属部分SDMXの一例に電気的に接続され、導電セグメントMS1に介在層孔V1が被覆されかつ介在層孔V1に電気的に接続され、かつ導電セグメントMS2に介在層孔V2及びV3のそれぞれが被覆されかつ介在層孔V2及びV3のそれぞれに電気的に接続される。
第1の素子の少なくとも一部がそれぞれ正又は負のZ方向に第2の素子の少なくとも一部と位置合わせすることに基づいて、第1の素子を第2の素子に重ねるか又は下げると見なす。
S/D金属部分SDMR1はこれにより抵抗性素子として用いられ、この抵抗性素子は誘電層孔V1及び導電セグメントMS1に対応する端子、及び誘電層孔V2及び導電MS2に対応しかつ誘電層孔V3を介してS/D金属部分SDMXの一例に電気的に接続された端子を含む。
明確にするために、図1A~図1GにおけるIC素子100の説明を簡略化する。図1A~図1Gは形状を含み、排除するか又は簡略化するか及び/又は簡略化する大きさ、形状及び/又は他の特徴との位置合わせ関係を有する様々な特徴を有するIC素子100の図を示し、本明細書における説明を促進する。様々な実施例において、図1A~図1Gに示された素子以外に、IC素子100も一つ又は複数の特徴(図示せず)を含み、例えば、接触部材、誘電体層、誘電体層孔、導電セグメント、又は電力ガイドレール、金属相互接続部材、トランジスタ素子、ウェル、分離構造又はその類似のものである。
基板100Bは一つ又は複数のIC素子を形成することに適する半導体ウェハ(例えば、以下に図9に関して説明された半導体ウェハ953)の一部である。様々な実施例において、基板100Bはn型シリコン(Si)を含み、このn型シリコン(Si)は一種又は複数種のドナードーパント、例えば、リン(P)又はヒ素(As)、又はp型シリコンを含み、このp型シリコンは一種又は複数種のアクセプタードーパント、例えば、ホウ素(B)又はアルミニウム(Al)を含む。
アクティブ領域AAX及びAAD(いくつかの実施例においてS/D構造AAX及びAADとも呼ばれる)のそれぞれはX方向に隣接するゲート構造の間に延在しかつ一種又は複数種の半導体材料を含む一つ又は複数の半導体構造であり、これによりFET素子の部品として使用することができる。様々な実施例において、アクティブ領域AAX及び/又はAADのうちの一つ又は複数はシリコン、リン化インジウム(InP)、ゲルマニウム(Ge)、ガリウムヒ素(GaAs)、シリコンゲルマニウム(SiGe)、インジウムヒ素(InAs)、炭化シリコン(SiC)又は他の適切な半導体材料のうちの一つ又は複数を含む。様々な実施例において、アクティブ領域は基板100Bに関して上述したようなドーパントを含む。
様々な実施例において、アクティブ領域AAX及び/又はAADのうちの一つ又は複数はエピタキシャル層、ナノシート(nanosheet)又は他の適切な半導体構造のうちの一つ又は複数を含む。用語「ナノシート」は単一の単層厚さ又は複数の単層厚さの実質的に二次元の材料を代表し、それによりいくつかの実施例において範囲が1ナノメートル(nm)~100nmの厚さを有し、かつ(例えば)数百ナノメートル~一ミクロンより大きい横方向寸法を有する。
様々な実施例において、S/D金属部分(例えば、S/D金属部分SDMR1、SDMX又はSDMD)は少なくとも一つの金属層の一部であり、例えば、銅(Cu)、銀(Ag)、タングステン(W)、チタン(Ti)、ニッケル(Ni)、スズ(Sn)、アルミニウム(Al)又はIC構造部品の間の低抵抗電気的接続を提供することに適する(すなわち、抵抗レベルが所定の閾値より低く、この所定の閾値は回路性能に対する抵抗の影響に基づく一つ又は複数の許容レベルに対応する)他の金属又は材料のうちの一つ又は複数に対応する。いくつかの実施例において、S/D金属部分は類金属定義(metal-like defined、MD)セグメントと呼ばれる。
様々な実施例において、S/D金属部分はドーパントを含み、かつこれにより(例えば、布植プロセスに基づく)この部分が低抵抗レベルを有することに十分なドーピングレベルを有する。様々な実施例において、ドープされたS/D金属部分はSi、SiGe、SiC、B、P、As、Ga、前記のような金属又は低抵抗レベルを提供することに適する他の材料のうちの一つ又は複数を含む。いくつかの実施例において、S/D金属部分はドーパントを含み、このドーパントは約1*1016毎立方センチメートル(cm-3)以上のドーピング濃度を有する。
図1A~図1Gに示された実施例において、S/D金属部分SDMR1~SDMR5のうちの少なくとも一つはS/D金属部分SDMX及び/又はSDMDのうちの少なくとも一つと同じ金属層の一部である。
ゲート構造(例えば、ゲート構造GA1~GA4、GB1~GB4又はGD)はゲート電極(図示せず)を含むIC構造である。ゲート電極は一種又は複数種の導電材料を含む体積であり、この一種又は複数種の導電材料は少なくとも部分的に一つ又は複数の誘電体層(図示せず)で囲まれ、この一つ又は複数の誘電体層は一種又は複数種の導電材料を上張、下張及び/又は隣接する構造(例えば、アクティブ領域AAX)と電気的に分離するための一種又は複数種の誘電体材料を含む。
導電材料は多結晶シリコン、銅(Cu)、アルミニウム(Al)、タングステン(W)、コバルト(Co)、ルテニウム(Ru)又は一種以上の他の金属及び/又は一種以上の他の適切な材料のうちの一つ又は複数を含む。誘電体材料は二酸化ケイ素(SiO2)、窒化ケイ素(Si3N4)及び/又は高誘電率誘電体材料(例えば、3.8又は7.0より高い誘電率値を有する誘電体材料)のうちの一つ又は複数を含み、この高誘電率誘電体材料は例えば酸化アルミニウム(Al2O3)、酸化ハフニウム(HfO2)、五酸化タンタル(Ta2O5)又は酸化チタン(TiO2)又は他の適切な材料である。
上記配置により、所定のゲート構造及び隣接するアクティブ領域はMOS電界効果トランジスタ(fieldEffect transistor、FET)の部材として配置され、ここで対応するゲート電極上の電圧はn型又はp型ドープを有する隣接するアクティブ領域の間の導電を制御することができる。様々な実施例において、ゲート構造はこれによりNMOSトランジスタ、PMOSトランジスタ、又はNMOSとPMOSトランジスタの組み合わせとして配置される。
MOSトランジスタのチャネル長は、MOSトランジスタに含まれる所定のゲート構造のゲート長によって決定される。図1A~図1Gに示された実施例において、各ゲート構造は同じゲート長さを有することにより、各MOSトランジスタは同じチャネル長さを有する。様々な実施例において、一つ又は複数のゲート構造は一つ又は複数の他のゲート構造の一つ又は複数のゲート長と異なるゲート長を有することにより、対応するMOSトランジスタは一つ又は複数の他の対応するMOSトランジスタの一つ又は複数のチャネル長と異なる一つ又は複数のチャネル長を有する。
誘電層孔(例えば、誘電層孔V1、V2又はV3)は一種又は複数種の導電材料を含むIC構造であり、この一種又は複数種の導電材料は下地のIC構造(例えば、S/D金属部分SDMR1又はSDMX)を上方被覆の金属セグメント(例えば、導電セグメントMS1又はMS2)に接続するために用いられる。導電セグメント(例えば、導電セグメントMS1又はMS2)は一種又は複数種の導電性材料を含むIC構造であり、この一種又は複数種の導電性材料は一つ又は複数の隣接し、下地及び/又は上方被覆IC構造を一つ又は複数の追加の隣接し、下地及び/又は上方被覆IC構造に電気的に接続するために用いられる。いくつかの実施例において、導電セグメントは金属相互接続構造の製造プロセスの第1の金属層を形成するためのセグメントである。
誘電体層(例えば、誘電体層FOX又はFOX1)は一種又は複数種の誘電体材料を含むIC構造であり、この一種又は複数種の誘電体材料は一つ又は複数の隣接、下地及び/又は上方被覆のIC構造と一つ又は複数の追加の隣接、下地及び/又は上方被覆のIC構造とを電気的に分離するために用いられる。いくつかの実施例において、誘電体層はフィールド酸化物と呼ばれる。
図1A~図1Cに示された実施例において、IC素子100は誘電体層FOX1を含み、この誘電体層FOX1はゲート構造GA2とGA3との間にありかつS/D金属部分SDMDの正Y方向での一例とS/D金属部分SDMXの負Y方向での一例との間に延在し、かつこのIC素子100はこれにより被覆されたS/D金属部分SDMR1を下地のIC構造(例えば、基板100B)と電気的に分離するために用いられる。様々な実施例において、IC素子100は誘電体層FOX1を含み、この誘電体層FOX1は他の方式で被覆されたS/D金属部分SDMR1を下地のIC構造と電気的に分離するために用いられる。
上記配置により、IC素子100はS/D金属部SDMR1を含み、このS/D金属部SDMR1はS/D金属部SDMR1と同じ層のS/D金属部SDMXの一例に電気的に接続された抵抗性素子として用いられる。S/D金属部SDMXの一例は隣接するゲート電極GB2及びGB3に基づいて一つ又は複数のMOSトランジスタに含まれるため、IC素子100は回路(一つ又は複数のMOSトランジスタを含む)の負荷抵抗として用いることができるS/D金属部SDMR1を含む。
ここで他の構造(例えば、薄膜抵抗器のBEOL構造)を負荷抵抗器として用いる方法と比較して、S/D金属部SDMR1及び一つ又は複数のMOSトランジスタがFEOL素子であるため、IC素子100は低減された面積要件及び寄生容量を有し、かつこれにより低減された電力及び増大された速度を有する。
図1A~図1Cに示された実施例において、説明の目的を簡略化するために、IC素子100は列RAに位置決めされたS/D金属部分SDMR1を含み、このS/D金属部分SDMR1は隣接する列RBに位置決めされたS/D金属部分SDMXの単一の例に電気的に接続される。様々な実施例において、IC素子100は同一列又は非隣接列に位置決めされたS/D金属部分SDMR1及びSDMXを含む。いくつかの実施例において、IC素子100はS/D金属部分SDMXのうちの図1A~図1Cに示された単一の例以外の一つ又は複数の例に電気的に接続されたS/D金属部分SDMR1を含む。
図1A~図1Cに示された実施例において、IC素子100は誘電層孔V1を介して導電セグメントMS1に電気的に接続されかつ単一の導電セグメントMS2及び誘電層孔V2及びV3を介してS/D金属部分SDMXに電気的に接続された一例のS/D金属部分SDMR1を含む。様々な実施例において、IC素子100は他の方式で配置された導電セグメントMS1及び/又は一つ又は複数の追加のIC構造(図示せず)を含み、この一つ又は複数の追加のIC構造は導電セグメントMS1を介してS/D金属部分SDMR1を一つ又は複数の回路素子に電気的に接続するために用いられ、例えば、S/D金属部分SDMXの他の例、電力ガイドレール(図示せず)、又はIC素子100以外の回路素子(図示せず)である。
様々な実施例において、導電セクションMS2及び/又は介在層孔V3以外又は導電セクションMS2及び/又は介在層孔V3に代わり、IC素子100は一つ又は複数の導電セクション(図示せず)及び/又は介在層孔(図示せず)を含み、それによりS/D金属部分SDMR1は導電セクションMS2を介して一つ又は複数の回路素子に電気的に接続され、例えば、S/D金属部分SDMXの他の例、電力ガイドレール(図示せず)、又はIC素子100以外の回路素子(図示せず)である。
図1D~図1Fに示された実施例において、IC素子100は列RAにおけるゲート構造GA1~GA4、列RBにおけるゲート構造GB1~GB4、アクティブ領域AAXの例、S/D金属部分SDMR1、S/D金属部分SDMXの例、介在層孔V1、及び導電セグメントMS1を含み、それぞれは以上に図1A~図1Cに関して説明する。図1D~図1Fに示されたいくつかの実施例において、IC素子100もダミー領域DZ(図示せず)を含む。
図1Dに示された実施例において、IC素子100はゲート構造GB2及びGB3の間にアクティブ領域AAXの一例及びS/D金属部分SDMXの一例を含まず、代わりに介在層孔V2及びV3及び導電セグメントMS2を介してS/D金属部分SDMR1に電気的に接続されたS/D金属部分SDMR2を含む。また、IC素子100は、S/Dメタル部SDMR2に電気的に接続され、かつ、S/Dメタル部SDMR2に電気的に接続された介在層孔V4と、ゲート構造GB3とゲート構造GB4との間のS/Dメタル部SDMXの一例に電気的に接続され、かつ、ゲート構造GB3とゲート構造GB4との間のS/Dメタル部SDMXの一例に電気的に接続された介在層孔V5と、介在層孔V4と介在層孔V5とに電気的に接続され、かつ、介在層孔V4と介在層孔V5とに電気的に接続された導電部MS3とを備えている。
図1Dに示された実施例において、IC素子100はこれによりS/D金属部SDMR1及びSDMR2を含み、S/D金属部SDMR1及びSDMR2は誘電体層FOXを上から覆い、かつ直列接続されて導電セグメントMS1に対応する端子及び導電セグメントMS3に対応する端子を含む抵抗性素子(例えば、負荷抵抗)として用いられ、かつ誘電体層孔V5を介してS/D金属部SDMXの一例に電気的に接続され、かつこのIC素子100はこれにより図1A~図1Cに関して上述した利点を実現することができる。
図1Eに示された実施例において、IC素子100は図1Dに関して上述したように配置されたS/D金属部分SDMR2及び介在層孔V4及びV5を含み、かつゲート構造GA1とGA2との間及びゲート構造GB1とGB2との間にアクティブ領域AAX及びS/D金属部分SDMXの一例を含まない。また、IC素子100は、ゲート構造GA1とゲート構造GA2との間のS/Dメタル部SDMR3と、ゲート構造GB1とゲート構造GB2との間のS/Dメタル部SDMR4と、介在層孔V6-V9と、導電部MS4-MS6とを備えている。
S/D金属部SDMR3は介在層孔V6及びV1と導電セグメントMS4及びMS1を介してS/D金属部SDMR1に電気的に接続され、かつ介在層孔V7及びV8と導電セグメントMS5を介してS/D金属部SDMR4に電気的に接続される。S/D金属部SDMR4は介在層孔V9及びV4と導電部MS6を介してS/D金属部SDMR2に電気的に接続され、かつ介在層孔V5と導電部MS6を介してゲート構造GB3及びGB4の間のS/D金属部SDMXの一例に電気的に接続される。
図1Eに示された実施例において、IC素子100はこれによりS/D金属部分SDMR1及びSDMR2の直列接続を含み、誘電体層FOXを上から覆いかつ誘電体層FOXを上から覆うS/D金属部分SDMR3及びSDMR4の直列接続と並列に配置され、導電セグメントMS1及びMS4に対応する端子及び導電セグメントMS6に対応する端子を含む抵抗性素子(例えば、負荷抵抗器)として共に用いられ、かつ誘電体層孔V5を介してS/D金属部分SDMXの一つの例に電気的に接続され、かつこのIC素子100はこれにより以上の図1A~図1Cに関して説明された利点を実現することができる。
図1Fに示された実施例において、IC素子100は図1Dに関して上述したように配置された介在層孔V4及びV5と導電セグメントMS3を含み、かつS/D金属部分SDMR2、介在層孔V2及びV3、及び導電セグメントMS2を含まない。IC素子100は代わりにS/D金属部SDMR1を含み、このS/D金属部SDMR1はゲート構造GA2とGA3との間及びゲート構造GB2とGB3との間に延在する。
図1Fに示された実施例において、IC素子100はこれによりS/D金属部分SDMR1を含み、このS/D金属部分SDMR1は誘電体層FOXを上から覆い、かつ導電セグメントMS1に対応する端子及び導電セグメントMS3に対応する端子を含む抵抗性素子(例えば、負荷抵抗器)として用いられ、かつ誘電体層孔V5を介してS/D金属部分SDMXの例に電気的に接続され、かつこのIC素子100はこれにより図1A~図1Cに関して上述した利点を実現することができる。
図1A~図1Fに示された実施例は一つ以上のS/D金属部分を含むIC素子100の非限定的な実施例であり、この一つ以上のS/D金属部分は抵抗性素子として用いられかつ一つ以上のMOSトランジスタの素子として用いられる一つ以上のS/D金属部分と同じ層に位置する。様々な実施例において、IC素子100は一つ又は複数のS/D金属部分を含み、この一つ又は複数のS/D金属部分は他の方式で抵抗性素子として用いられかつ一つ又は複数のMOSトランジスタの素子として用いられる一つ又は複数のS/D金属部分と同じ層に位置する。
図1Gに示された実施例において、IC素子100は列RAにおけるゲート構造GA1~GA4及び列RBにおけるゲート構造GB1~GB4、アクティブ領域AAXの一例、誘電体層FOX1を上から覆うS/D金属部分SDMR1、S/D金属部分SDMXの一例、介在層孔V1~V3、導電セグメントMS2を含み、それぞれはいずれもダミー領域DZに囲まれかつ図1A~図1Cに関して上述したように配置される。IC素子100はダミー領域DZを含み、このダミー領域DZは列RAにおけるゲート構造GA5及びGA6、列RBにおけるGB5及びGB6並びに列RCにおけるGC1~GC6、アクティブ領域AAX及び対応するS/D金属部SDMXの追加例、誘電体層FOX2を上から覆うS/D金属部SDMR5、誘電体層孔V10~V15、及び導電部MS7~MS11を取り囲む。いくつかの実施例において、IC素子100はゲート構造GD、アクティブ領域AAD及びS/D金属部SDMDを含まず、かつこれにより図1Gに示すようなダミー領域DZを含まない。
S/D金属部SDMR5は介在層孔V10及びV1と導電セグメントMS7を介してS/D金属部SDMR1に電気的に接続され、かつ介在層孔V11及びV12と導電セグメントMS8を介してゲート構造GB4とGB5との間のS/D金属部SDMXの一例に電気的に接続される。ゲート構造GB3及びGB4の間のS/Dメタル部SDMXの一例は、介在層孔V13及びV14、並びに、導電部MS9及びMS10を介して、ゲート構造GC5及びGC6の間のS/Dメタル部SDMXの一例と電気的に接続される。ゲート構造GC1とゲート構造GC2との間のS/Dメタル部SDMXの一例は、介在層孔V15を介して導電部MS11に電気的に接続される。
これにより金属セグメントMS7は第1の抵抗性素子として用いられるS/D金属部分SDMR1の第1の端子、及び第2の抵抗性素子として用いられるS/D金属部分SDMR5の第1の端子として用いられる。いくつかの実施例において、金属セグメントMS7は第1の電源電圧レベルを担持するための金属セグメント(図示せず)に電気的に接続され、例えば、電力ガイドレールである。
ゲート構造GB3及びアクティブ領域AAX及びS/D金属部分SDMXの隣接する例はこれにより第1のMOSトランジスタとして用いられ、この第1のMOSトランジスタは導電セグメントMS2に対応しかつ第1の抵抗性素子の第2の端子に電気的に接続された第1のS/D端子を含む。ゲート構造GB4及びアクティブ領域AAX及びS/D金属部分SDMXの隣接する例はこれにより第2のMOSトランジスタとして用いられ、この第2のMOSトランジスタは導電セグメントMS8に対応しかつ第2の抵抗性素子の第2の端子に電気的に接続された第1のS/D端子を含む。これにより、ゲート構造GB3とゲート構造GB4との間の活性領域AAXおよびS/Dメタル部SDMXの一例と、介在層孔V13と、メタルセクションMS9とが、第1のおよび第2ののMOSトランジスタの共有S/D端子として用いられる。
ゲート構造GC2~GC5と対応する隣接するアクティブ領域AAX及びS/D金属部分SDMXはこれによりMOSトランジスタスタックに配置され、このMOSトランジスタスタックはゲート構造GC5とGC6との間のアクティブ領域AAX及びS/D金属部分SDMXの一例、介在層孔V14及び金属セグメントMS10を含み、これらは第1の及び第2のMOSトランジスタの共有S/D端子に電気的に接続された第1のS/D端子として用いられる。これにより、ゲート構造GC1とゲート構造GC2との間の活性領域AAXおよびS/Dメタル部SDMXの一例と、介在層孔V15と、金属部MS11とが、MOSトランジスタスタックの第2ののS/D端子として用いられる。いくつかの実施例において、金属セグメントMS11は第2の電源電圧レベルを携帯するための金属セグメント(図示せず)に電気的に接続され、例えば、電力ガイドレールである。
上記配置により、図1Gに示されたIC素子100の実施例はCML回路に対応し、このCML回路は第1のMOSトランジスタと直列接続された第1の負荷抵抗として用いられるS/D金属部SDMR1、第2のMOSトランジスタと直列接続された第2の負荷抵抗として用いられるS/D金属部SDMR5、及びMOSトランジスタと直列接続された第1の及び第2のMOSトランジスタを含み、かつこの実施例はこれにより図1A~図1Cに関して上述した利点を実現することができる。CML回路がMOSトランジスタスタックを含まない方法と比較して、MOSトランジスタスタックを含むことにより、図1Gに示されたIC素子100の実施例はさらに拡張された出力インピーダンス帯域幅を有することができる。
動作において、第1の及び第2のMOSトランジスタはスイッチとして機能し、かつMOSトランジスタスタックは直列チャネル抵抗に基づく電流制限回路素子として機能する。負荷抵抗器を流れる各電流レベル及び関連する電圧降下の値はそれにより第1の及び第2のMOSトランジスタの各オン/オフ状態、MOSトランジスタスタックの直列チャネル抵抗、導電セグメントMS7及びMS11に存在する電圧レベル(例えば、第1の及び第2の電源電圧レベル)の間の差、及び負荷抵抗器の抵抗値に基づく。
図1Gに示す例は、列RAに含まれる第1のおよび第2のの負荷抵抗と、列RBに含まれる第1のおよび第2ののMOSトランジスタと、列RCに含まれるMOSトランジスタとを積層してCML回路として用いたIC素子100の例である。様々な実施例において、以下に図2E~図2Hについてさらに説明するように、IC素子100は他の方式で配置された(例えば、三列より少ないか又はそれより多い)第1の及び第2の負荷抵抗、第1の及び第2のMOSトランジスタ及びMOSトランジスタのスタックを含むことによりCML回路として用いられる。
図1Gに示す実施例において、IC素子100はMOSトランジスタスタックを含み、このMOSトランジスタスタックは単列RCに配置された合計四つのMOSトランジスタを含む。様々な実施例において、IC素子100は合計で四つ以上のMOSトランジスタを含むMOSトランジスタスタックを含み、MOSトランジスタスタックの直列チャネル抵抗はそれに応じて減少するか又は増大する。いくつかの実施例において、IC素子100は総数範囲が1~200のMOSトランジスタを含むMOSトランジスタスタックを含む。いくつかの実施例において、IC素子100は総数範囲が2~100のMOSトランジスタを含むMOSトランジスタスタックを含む。
様々な実施例において、IC素子100は一つ以上の列に配置されたMOSトランジスタを含みかつそれに対応して追加の導電構造(例えば、介在層孔及び導電セグメント)を含むMOSトランジスタスタックを含む。いくつかの実施例において、IC素子100は総数範囲が二~二十個の列に配置されたMOSトランジスタを含むMOSトランジスタスタックを含む。いくつかの実施例において、IC素子100は総数の範囲が五~十個の列に配置されたMOSトランジスタを含むMOSトランジスタスタックを含む。
図1Gに示す実施例において、IC素子100は図1Gに示すように配置されたS/D金属部SDMR1及びSDMR5に基づく抵抗値を有する負荷抵抗器を含む。様々な実施例において、以下に図2Fについてさらに説明するように、IC素子100は他の配置(例えば、図1Dに示すように配置されたS/D金属部分SDMR1及びSDMR2、図1Eに示すように配置されたS/D金属部分SDMR1~SDMR4、又は図1Fに示すように配置されたS/D金属部分SDMR1)に基づく抵抗値を有する負荷抵抗器を含む。
図2A~図2Dは、一部の実施形態に係るIC素子200A-200Dの模式図である。以上の図1A~図1Gに関して説明したIC素子100の一つ又は複数の実施例によれば、以下に説明するように、IC素子200A~200Dのそれぞれは少なくとも一つの負荷抵抗器及び一つのMOSトランジスタ、電源電圧レベルVDD、及び接地符号で示された基準電圧レベルを含む。
IC素子200Aは図1Gに示されたIC素子100のNMOS CML回路の実施例に対応し、かつ負荷抵抗R0及びR1とNMOSトランジスタN1~N3Nを含む。負荷抵抗R0及びR1はS/D金属部分(例えば、S/D金属部分SDMR1及びSDMR5)を含み、及び(例えば)導電セグメントMS7を介して電源電圧レベルVDDを携帯するための電源電圧ノードの第1の端子に結合される。NMOSトランジスタN31~N3NはNMOSトランジスタスタックを示し、それは数N個のNMOSトランジスタを含み、これらのNMOSトランジスタは(例えば)導電セグメントMS11を介して基準電圧レベルを携帯するための基準電圧ノードのソース端に結合され、及び(例えば)導電セグメントMS10を介してトランジスタN1及びN2の共有ソース端(例えば、導電セグメントMS9)のドレイン端に結合されるように配置される。NMOSトランジスタN1は(例えば)導電セグメントMS2を介して負荷抵抗R0の第2の端子に結合されたドレイン端を含み、かつNMOSトランジスタN2は(例えば)導電セグメントMS8を介して負荷抵抗R1の第2の端子に結合されたドレイン端を含む。
IC素子200Aはこれにより動作中にNMOSトランジスタN1のゲート(例えば、ゲート構造GB3)での入力信号Ip、NMOSトランジスタN2のゲート(例えば、ゲート構造GB4)での入力信号In、及び各NMOSトランジスタN31~N3Nのゲート(例えば、ゲート構造GC2~GC5)でのバイアス電圧レベルVbiasを受信することに用いられる。電源電圧レベルVDD、基準電圧レベル、入力信号Ip及びIn及びバイアス電圧レベルVbiasに応答し、IC素子200Aはこれにより動作中に負荷抵抗R0とNMOSトランジスタN1との間の出力信号On、及び負荷抵抗R1とNMOSトランジスタN2との間の出力信号Opを生成するために用いられる。
IC素子200Bは図1Gに示されたIC素子100のPMOS CML回路の実施例に対応し、かつ負荷抵抗R0及びR1とPMOSトランジスタP11~P3を含む。負荷抵抗R0及びR1はS/D金属部分(例えば、S/D金属部分SDMR1及びSDMR5)を含み、及び(例えば)導電セグメントMS7を介して基準電圧レベルを担持するための基準電圧ノードの第1の端子に結合される。PMOSトランジスタP11~P1NはPMOSトランジスタスタックを示し、それは数N個のPMOSトランジスタを含み、これらのPMOSトランジスタは(例えば)導電セグメントMS11を介して電源電圧レベルVDDを携帯するための電源電圧ノードのソース端に結合され、及び(例えば)導電セグメントMS10を介してトランジスタP2及びP3の共有ソース端(例えば、導電セグメントMS9)のドレイン端に結合されるように配置される。PMOSトランジスタP2は(例えば)導電セグメントMS2を介して負荷抵抗R0の第2の端子に結合されたドレイン端を含み、かつPMOSトランジスタP3は(例えば)導電セグメントMS8を介して負荷抵抗R1の第2の端子に結合されたドレイン端を含む。
IC素子200Bはこれにより動作中にPMOSトランジスタP2のゲート(例えば、ゲート構造GB3)での入力信号Ip、PMOSトランジスタP3のゲート(例えば、ゲート構造GB4)での入力信号In、及び各PMOSトランジスタP11~P1Nのゲート(例えば、ゲート構造GC2~GC5)でのバイアス電圧レベルVbiasを受信するために用いられる。電源電圧レベルVDD、基準電圧レベル、入力信号Ip及びIn及びバイアス電圧レベルVbiasに応答し、IC素子200Bはこれにより動作中に負荷抵抗R0とPMOSトランジスタP2との間の出力信号On、及び負荷抵抗R1とPMOSトランジスタP3との間の出力信号Opを生成するために用いられる。
IC素子200CはPMOSラッチ回路に対応し、このPMOSラッチ回路は図2Bに関して上述したIC素子200B、及びダミー領域DZ(存在すれば)に囲まれたMOSトランジスタ(図1A~図1Gに関して上述したように)に対応するPMOSトランジスタP4~P8を含む。PMOSトランジスタP4は電源電圧ノードとPMOSトランジスタP6及びP7のソース端との間に結合され、PMOSトランジスタP5はPMOSトランジスタP11のドレインと基準電圧ノードとの間に結合され、PMOSトランジスタP6及びP7は互いに交差して結合されかつ負荷抵抗R0及びR1の第2の端子に結合され、かつPMOSトランジスタP8はPMOSトランジスタP4のドレインと基準電圧ノードとの間に結合される。
IC素子200Cはこれにより動作中にIC素子200B及び図2Bに関して上述した入力信号Ip及びIn、PMOSトランジスタP11~P1N及びP4のゲートでのバイアス電圧レベルVbias、及びPMOSトランジスタP5及びP8の対応するゲートでの相補クロック信号Ck及びCkbを受信することに用いられる。相補クロック信号Ck及びCkbに応答して、IC素子200CはさらにIC素子200Bに関して上述した出力信号On及びOpに応答してラッチ動作を実行するために用いられる。
IC素子200Dは図1A~図1Fに示すIC素子100のCMOS交流(AC)レベルシフト回路の実施例に対応し、かつ負荷抵抗R2、PMOSトランジスタP9及びP10、NMOSトランジスタN4及びN5を含む。負荷抵抗R2はS/D金属部分(例えば、S/D金属部分SDMR1~SDMR4)を含む抵抗性素子に対応し、かつPMOSトランジスタP9及びP10とNMOSトランジスタN4及びN5はMOSトランジスタに対応し、それぞれはいずれもダミー領域DZ(存在すれば)に囲まれる。IC素子100以外の容量素子C1(例えば、金属-絶縁体-金属(metal-insulator-metal、MIM)コンデンサ)は第1の端子を含み、この第1の端子(例えば)は一つ又は複数の誘電層孔及び金属セグメントを介して負荷抵抗R2の第1の端子及びPMOSトランジスタP9及びNMOSトランジスタN4のゲートに電気的に接続され、電源電圧ノードと基準電圧ノードとの間にカップリングされた第1のインバータとして用いられる。負荷抵抗R2の第2の端子及び第1のインバータの出力ノードはPMOSトランジスタP10及びNMOSトランジスタN5のゲートに電気的に接続され、電源電圧ノードと基準電圧ノードとの間に結合された第2のインバータとして用いられる。
IC素子200Dはこれにより操作中にコンデンサ素子の第2の端子での入力信号Vinを受信し、入力信号Vinに応答しかつ負荷抵抗R2に基づいて調整し、第2のインバータの出力ノードでレベルシフトの出力信号Voutを生成するために用いられる。
図2A~図2Dに示されたIC素子200A~200Dはこれにより非限定的な例を示し、ここで負荷抵抗R0~R2はS/D金属部分と同じ層におけるS/D金属部分SDMXの一例に電気的に接続されたS/D金属部分(例えば、S/D金属部分SDMR1~SDMR5)を含み、IC素子200A~200Dのそれぞれはこれにより図1A~図1Gに関して上述した利点を実現することができる。
図2E~図2Hは、一部の実施形態に係るIC素子200Aを示す図である。以下に説明するように、図2Eは図1Gに示された構成により実施されたIC素子200Aの非限定的な実施例を示し、図2Fは図1D~図1Fに示された構成により実施された負荷抵抗を含むIC素子200Aの非限定的な実施例を示し、図2GはMOSトランジスタスタックを含み並列に実施されたIC素子200Aの非限定的な実施例を示し、かつ図2HはIC素子200Aの様々な構成の非限定的な実施例を示す。
図2E~図2Hは図2Aに示されたNMOS CML回路の実施例と図2E~図2Hに示された実施との間の関係を示し、かつ明確にするために(例えば)図1Gの説明に含まれた一つ又は複数のマークを省略することにより簡略化する。
図2EはIC素子200Aの概略図を含み、それは(矢印で示されるように)簡略化平面図にマッピングされ、かつさらに図1Aに示された平面A-A’に対応する平面B-B’に沿った断面図にマッピングされる。図2Eに示すように、IC素子200Aは負荷抵抗R0及びR1を含む負荷抵抗部S1、NMOSトランジスタN1及びN2を含むスイッチトランジスタ部S2、及びNMOSトランジスタN31~N3Nを含むMOSトランジスタスタック部S3を含む。いくつかの実施例において、ダミー領域DZは負荷抵抗部S1、スイッチトランジスタ部S2及びMOSトランジスタ積層部S3のそれぞれを取り囲む。
平面図に示すように、負荷抵抗器R0はS/D金属部SDMR1と負荷抵抗器部S1を上から覆う誘電体層FOX1(図2Eに示されていない)の対応する誘電体層孔V1及びV2(図2Eに示されていない)により実施される。平面図及び横断面図に示すように、負荷抵抗R1はS/D金属部SDMR5と負荷抵抗部S1内の誘電体層FOX2(図2Eに表記せず)を上から覆う対応する誘電体層孔V10及びV11と金属部MS7及びMS8に対応する。
NMOSトランジスタN1、N2は、スイッチングトランジスタ部S2のS/Dメタル部およびゲート構造の隣接する一例(図2(e)には図示せず)と、スイッチングトランジスタ部S2介在層孔V12を介して、平面図および断面図に示すように、負荷抵抗R1に電気的に接続されたNMOSトランジスタN2とにより実現される。
NMOSトランジスタN31-N3Nは、MOSトランジスタ積層部S3において、平面視でS/Dメタル部とゲート構造の隣接例(図2(e)では不図示)により実現される。平面図及び断面図に示すように、NMOSトランジスタN32及び被覆された金属セグメントMS10(NMOSトランジスタN31とNMOSトランジスタN1及びN2との間の導電経路の一部)はMOSトランジスタ積層部S3内に位置する。
図2Eの非限定的な実施例に示すように、CML回路(例えば、IC素子200A)は図1A~図1Gに関して上述した利点を実現することができ、このCML回路は負荷抵抗部S1におけるS/D金属部により実施された負荷抵抗、スイッチトランジスタ部S2に実施されたスイッチトランジスタ、及びMOSトランジスタスタック部S3に実施されたMOSトランジスタスタックを含む。そのうちの他の構造(例えば、BEOL構造)を負荷抵抗として用いる方法と比較して、負荷抵抗部S1とMOSトランジスタスタック部S3との間にありかつ負荷抵抗部S1及びMOSトランジスタスタック部S3に隣接する(かついくつかの実施例においてダミー領域DZに囲まれる)スイッチトランジスタ部S2の構成を有することにより、CML回路はさらに改良されたパターン密度及び均一性を有することができ、それにより面積要件及び製造装置への悪影響を低減する。
図2FはIC素子200Aの負荷抵抗部S1を含み、それは図1D~図1Fに示された対応する構成に対応する簡略化平面図S1D、S1E及びS1Fにマッピングされる(矢印で示される)。IC素子200Aの負荷抵抗部S1に描かれた単一列と比較して、平面図S1D、S1E及びS1Fに描かれた実施のそれぞれは三つ以上の列を含む。
平面図S1Dにおいて、図1Dの構成と同様に、負荷抵抗R0及びR1のそれぞれは三つ以上のS/D金属部分、介在層孔及び金属セグメントの直列接続により実施される。いくつかの実施例において、IC素子200Aの負荷抵抗器部分S1の実施と比較して、平面図S1Dの実施は増大した抵抗値を有する負荷抵抗器R0及びR1に対応する。
平面図S1Eにおいて、負荷抵抗R0及びR1のそれぞれは二つの行の並列配置により実施され、それぞれは三つ以上のS/D金属部分、介在層孔及び金属セグメントの直列接続を含み、これにより図1Eに示された構成と類似する構成を有する。様々な実施例において、負荷抵抗器R0及びR1のそれぞれは二つ以上の行の並列配置により実施される。いくつかの実施例において、IC素子200Aの負荷抵抗器部分S1の実施と比較して、平面図S1Eの実施は増大した電力容量及び/又は減少した抵抗値を有する負荷抵抗器R0及びR1に対応する。
平面図S1Fにおいて、図1Fの構成と同様に、負荷抵抗R0及びR1のそれぞれは拡張されたS/D金属部分及び介在層孔及び金属セグメントにより実施される。いくつかの実施例において、IC素子200Aの負荷抵抗器部分S1の実施と比較して、平面図S1Fの実施は増大した抵抗値を有する負荷抵抗器R0及びR1に対応する。
図2Gは、平面図S3Pを矢印で示すように簡略化したIC素子200AにマッピングされたMOSトランジスタ積層部S3を有する。IC素子200AのMOSトランジスタ積層部S3に描かれたN個のNMOSトランジスタの直列トランジスタ積層と比較して、平面図S3Pに描かれた実施は二列のNMOSトランジスタの並列配置を含み、それぞれはN/2個のNMOSトランジスタを含む。いくつかの実施例において、IC素子200AのMOSトランジスタ積層部S3の実施と比較して、平面図S3Pの実施は抵抗値が低減されたMOSトランジスタ積層に対応する。
図2HはIC素子200Aを含み、このIC素子200Aは負荷抵抗部S1、スイッチトランジスタ部S2及びMOSトランジスタスタック部S3の構成の三つの非限定的な実施例を有する。第1の配置は図2Eに示されたIC素子200Aの簡略化平面図に対応し、ここでスイッチトランジスタ部S2は負荷抵抗部S1とMOSトランジスタ積層部S3との間に位置しかつ負荷抵抗部S1及びMOSトランジスタ積層部S3に隣接する。
第2の配置は負荷抵抗部S1及びMOSトランジスタスタック部S3のそれぞれを取り囲むスイッチトランジスタ部S2を含み、かつ負荷抵抗部S1及びMOSトランジスタスタック部S3のそれぞれはスイッチトランジスタ部S2内の単一、連続的な部分である。
第3の配置は負荷抵抗部S1及びMOSトランジスタスタック部S3のそれぞれを取り囲むスイッチトランジスタ部S2を含み、かつ負荷抵抗部S1及びMOSトランジスタスタック部S3のそれぞれはスイッチトランジスタ部S2内の複数の個別のサブ部分を含む。
これにより、図2Hに示す各構成は、図2Eに関して上述した効果を奏することができる。
図3は、一部の実施形態に係るIC素子の動作方法300のフローチャートである。方法300はCML回路に対応するIC素子(例えば、図1A~図2Cに関して上述したIC素子100~200C)と共に使用することができる。
操作310では、CML回路のトランジスタのゲートで入力信号を受信する。様々な実施例において、入力信号を受信することは対応するNMOSトランジスタN1及びN2(以上でIC素子200A及び図2Aに関して説明した)のゲート又はPMOSトランジスタP2及びP3(以上でIC素子200B及び200C及び図2B及び図2Cに関して説明した)のゲートで入力信号Ip及びInを受信することを含む。
いくつかの実施例において、入力信号を受信することはCML回路の電流調整トランジスタのゲートにバイアス電圧レベルを受信することを含む。様々な実施例において、受信バイアス電圧レベルはNMOSトランジスタN3(以上IC素子200A及び図2Aに関して説明した)のゲートで、PMOSトランジスタP1(以上IC素子200B及び200 C並びに図2B及び図2Cに関して説明した)のゲートで、又はトランジスタP1及びP4(以上IC素子200C及び図2Cに関して説明した)のゲートで、受信バイアス電圧レベルVbiasを含む。
操作320において、入力信号に応答して、一対の抵抗性素子を通過する電流を制御することにより一対の出力信号を生成し、この一対の抵抗性素子における各抵抗性素子はCML回路のトランジスタのS/D金属部分と同じ層に位置するS/D金属部分を含む。
様々な実施例において、出力信号を生成することは負荷抵抗R0及びR1(例えば上記IC素子200A~200C及び図2A~図2Cに関して説明される)を通過する電流を制御することにより出力信号On及びOpを生成することを含む。
様々な実施例において、トランジスタのS/D金属部分と同じ層に含まれるS/D金属部分の各抵抗性素子は抵抗性素子を含み、これらの抵抗性素子はゲート構造GB3及びGB4に対応するS/D金属部分SDMXの一例(以上IC素子100及び図1Gに関して説明した)と同じ層に含まれるS/D金属部分SDMR1及びSDMR5を含む。
操作330において、いくつかの実施例において、出力信号に応答してラッチ操作を実行する。いくつかの実施例において、ラッチ動作を実行することは出力信号On及びOp並びに相補クロック信号Ck及びCkb(以上のIC素子200C及び図2Cに関して説明した)に応答してラッチ動作を実行することを含む。
方法300の操作のうちの一部又は全部を実行することにより、負荷抵抗(ここでS/D金属部分がCML回路のトランジスタのS/D金属部分と同じ層に位置する)を使用してCML回路を操作し、それによりIC素子100~200Cに関して上述した利点を実現する。
図4A~図4Dはいくつかの実施例に係る様々な製造段階にあるIC素子の図面であり、かつ図5はいくつかの実施例に係るIC素子の製造方法500のフローチャートである。図4A~図4Dに示された製造段階は図1A~図1Cに示されたIC素子100を製造する非限定的な実施例に対応し、かつ方法500の操作に対応する。様々な特徴の説明を促進するために、図4A~図4Dに示された平面図は様々なIC素子部品(例えば、誘電体層、スペーサ又はその類似物)の一部又は全部を省略する。
図5において方法500の操作を示す順序は説明のためだけである。方法500の操作は同時に実行するか又は図5に示す順序と異なる順序で実行することができる。いくつかの実施例において、図5に示された操作の前、その間、その間及び/又はその後に図5に示されたそれら以外の操作を実行する。
操作510において、いくつかの実施例において、ゲート構造を構築する。いくつかの実施例において、構築ゲート構造はダミーゲート構造のダミー領域に囲まれたゲート構造を構築することを含む。いくつかの実施例において、ダミーゲート構造のダミー領域に囲まれたゲート構造を構築することは製造装置を均一にロードするように設計された一つ又は複数のパターンに基づいて一つ又は複数のIC特徴の一部を構築することである。
いくつかの実施例において、構築ゲート構造は構築パターン4A~図4Cに示されたIC素子100のゲート構造GSのいくつか又は全ての例を含む。いくつかの実施例において、構築ゲート構造GSは図1A~図1G及び図4Dに示されたゲート構造GA1~GA4、GB1~GB4及び/又はGDと異なる構築ゲート構造GSを含み、置換ゲート製造プロセス(例えば、高誘電率金属ゲート(replacement high-k metal gate、RHMG)を置換するプロセス)の一部とする。いくつかの実施例において、構築ゲート構造GSは構築ゲート構造GA1~GA4、GB1~GB4及び/又はGDと同じゲート構造GSを含む。
構築ゲート構造は一つ又は複数の堆積製造プロセスを実行することにより、図1A~図1Gに関して上述した一つ又は複数の誘電体材料層を形成することを含む。様々な実施例において、堆積プロセスは化学気相堆積(chemical vapor deposition、CVD)、プラズマ強化CVD(plasmaEnhanced CVD、PECVD)、原子層堆積(atomic layer deposition、ALD)、又は一つ又は複数の材料層を堆積することに適する他のプロセスを含む。
いくつかの実施例において、構築ゲート構造は一つ又は複数の堆積プロセスを実行することにより、図1A~図1Gに関して上述した一つ又は複数の導電性材料層を形成することを含む。様々な実施例において、構築ゲート構造はゲート電極又はダミーゲート電極を形成することを含む。
様々な実施例において、構築ゲート構造は第1の対の隣接するゲート構造の間に少なくとも一つの誘電体層(例えば、図4A~図4Dに描画されかつ図1A~図1Gに関して上述された誘電体層FOX1)を堆積するか又は成長することと、第2の対の隣接するゲート構造の間に少なくとも一つのアクティブ領域(例えば、図4A~図4Dに描画されかつ図1A~図1Gに関して上述されたアクティブ領域AAX)を形成することとを含む。
操作520において、一対のゲート構造の間に第1の及び第2のS/D金属部分を堆積し、第1のS/D金属部分が酸化物層にありかつ第2のS/D金属部分がアクティブ領域にある。第1の及び第2のS/D金属部分を堆積することは同じ金属層を堆積する部分を含み、それにより誘電体層上の第1のS/D金属部分を含む第1の抵抗性素子、及びアクティブ領域上の第2のS/D金属部分を含む第1のトランジスタを形成する。
いくつかの実施例において、第1の及び第2のS/D金属部分を堆積することは図4B~図4Dに示されかつ図1A~図1Gに関して上述した誘電体層FOX1にS/D金属部分SDMR1を形成しかつアクティブ領域AAXにS/D金属部分SDMXを形成することを含む。いくつかの実施例において、第1の及び第2のS/D金属部分を堆積することは図1A~図1Gに関して上述したS/D金属部分SDMR2~SDMR5のうちの一つ又は複数を形成することを含む。
いくつかの実施例において、第1の及び第2のS/D金属部分を堆積することはCu、Ag、W、Ti、Ni、Sn、Alのうちの一つ又は複数を堆積することを含む。様々な実施例において、第1の及び第2のS/D金属部分を堆積することはドーピング操作を実行することを含み、例えば、布植プロセスである。様々な実施例において、ドーピング操作を実行することはSi、SiGe、SiC、B、P、As、Ga、金属又は低抵抗レベルを提供することに適する他の材料のうちの一つ又は複数を使用することを含む。いくつかの実施例において、ドーピング操作を実行することは約1*1016立方センチメートル(cm-3)以上のドーピング濃度にドーピングすることを含む。
操作530において、第1の及び第2のS/D金属部分の間に第1の電気的接続を形成し、かつ第1のS/D金属部分までの第2の電気的接続を形成する。いくつかの実施例において、第1の及び第2の電気的接続を形成してICの金属相互接続構造の一部を形成する。
いくつかの実施例において、第1の及び第2の電気的接続を形成することは図4C及び図4Dに示されかつ以上の図1A~図1Gに関して説明された介在層孔V1~V3及び金属セグメントMS1及びMS2を形成することを含む。いくつかの実施例において、第1の及び第2の電気的接続を形成することは以上の図1A~図1Gに関して説明した誘電層孔V4~V15及び/又は金属セグメントMS3~MS10のうちの一つ又は複数を形成することを含む。
操作540において、いくつかの実施例において、高誘電率金属ゲートでゲート構造の部分を置き換え、例えば、RHMG製造プロセスの一部とする。いくつかの実施例において、ゲート構造を取り替える部分は図4A~図4Cに示されたゲート構造GCで図4Dに示されたゲート構造GA1~GA4、GB1~GB4及び/又はGDを形成することを含む。
方法500の操作は一つ又は複数の負荷抵抗器を含むIC素子を形成するために用いられ、ここでS/D金属部分はIC素子のトランジスタのS/D金属部分と同じ層にあり、これによりIC素子100~200Cに関して上述した利点を実現する。
図6はいくつかの実施例に係るICレイアウトパターンを生成する方法600のフローチャートである。いくつかの実施例において、ICレイアウトパターンを生成することは以下に説明するICレイアウトパターン700A又は700Bのうちの一つ又は複数を生成することを含み、それは生成されたICレイアウトパターンに基づいて製造されたIC素子に対応し、このIC素子はそのうちのS/D金属部分がトランジスタのS/D金属部分と同じ層に位置する負荷抵抗器を含み、例えば、図1A~図2Dに関して上述したS/D金属部分SDMR1~SDMR5及びSDMXのうちの一つ又は複数のIC素子100~200Dを含む。IC素子の非限定的な実例はメモリ回路、論理素子、処理素子、信号処理回路、及びそれに類似するものを含む。
いくつかの実施例において、コンピュータのプロセッサにより方法600の一部又は全部を実行する。いくつかの実施例において、以下の図8に関して説明された電子設計自動化(EDA)システム800のプロセッサ802により方法600の一部又は全部を実行する。
いくつかの実施例において、方法600の一つ又は複数の操作はIC素子を形成する方法の操作のサブセットである。いくつかの実施例において、方法600の一つ又は複数の操作はIC製造フロー(例えば、以下に製造システム900及び図9に説明されたIC製造フローに関する)の操作のサブセットである。
いくつかの実施例において、図6に示された順序で方法600の操作を実行する。いくつかの実施例において、方法600の操作を同時に及び/又は図6に示された順序以外の順序で実行する。いくつかの実施例において、方法600の一つ又は複数の操作を実行する前、その間、その間及び/又はその後に一つ又は複数の操作を実行する。
いくつかの実施例において、図7A及び図7Bは方法600の一つ又は複数の操作を実行することにより生成された対応するICレイアウトパターン700A及び700Bの非限定的な実施例を説明する。ICレイアウトパターン700Aは図1A~図1Cに示されたIC素子100の実施例に対応し、かつICレイアウトパターン700Bは図1Gに示されたIC素子100の実施例に対応する。ICレイアウトパターン700A又は700Bに加えて、図7A及び図7Bのそれぞれは図1A~図1G及び図4A~図4Dに関して上述したX及びY方向を含む。
ICレイアウトパターン700A及び700Bは、明確化のために簡略化されている。様々な実施例において、ICレイアウトパターン700A又は700Bのうちの一つ又は複数は図7A及び図7Bに示されたそれらの特徴以外の特徴を含み、例えば、一つ又は複数のトランジスタ素子、電力ガイドレール、分離構造、トラップ、導電性素子又はその類似のものである。様々な実施例において、ICレイアウトパターン700A及び700Bのそれぞれは明確にするために不必要に図示するか又は部分的に図示する特徴を含む。
図7Aに示すように、ICレイアウトパターン700Aは以上の図1A~図1Gに関して説明した列RA及びRB、列RAにおけるゲート領域GRA1~GRA4及び列RBにおけるGRB1~GRB4、ゲート領域GRA1及びGRA2、GRA3及びGRA4とGRB1~GRB4における各隣接ペアの間のアクティブ領域ARX、ゲート領域GRA2及びGRA3の間の誘電領域OXR1、ゲート領域GRA2及びGRA3の間の誘電領域OXR1と重畳するS/D金属領域SDR1、各アクティブ領域ARXと重畳するS/D金属領域SDRX、S/D金属領域SDR1と重畳する介在層孔領域VR1及びVR2、ゲート領域GRB2及びGRB3の間のS/D金属領域SDRXと重畳する介在層孔領域VR3、介在層孔領域VR1と重畳する導電領域MR1、及び介在層孔領域VR2及びVR3と重畳する導電領域MR2、ダミー領域DRはゲート領域GRA1~GRA4及びGRB1~GRB4、アクティブ領域ARX、誘電体領域OXR1、S/D金属領域SDR1及びSDRX、介在層孔領域VR1~VR3及び導電領域MR1及びMR2を取り囲み、かつダミーゲート領域GRD、ダミーアクティブ領域ARD及びダミーS/D金属領域SDRDを含む。
図7Bに示すように、ICレイアウトパターン700Bは以上の図1A~図1Gに関して説明した列RA~RC、列RAにおけるゲート領域GRA1~GRA6、列RBにおけるGRB1~GRB6及び列RCにおけるGRC1~GRC6、ゲート領域GRA1及びGRA2、GRA3及びGRA4、GRA5及びGRA6、GRB1~GRB6における各隣接ペア及びGRC1~GRC6における各隣接ペアの間のアクティブ領域ARX、ゲート領域GRA2及びGRA3の間の誘電体領域OXR1、ゲート領域GRA4及びGRA5の間の誘電体領域OXR2、ゲート領域GRA2及びGRA3の間で誘電体領域OXR1と重なるS/D金属領域SDR1、ゲート領域GRA4及びGRA5の間で誘電体領域OXR2と重なるS/D金属領域SDR5及び各アクティブ領域ARXと重なるS/D金属領域SDR2、S/D金属領域SDR1と重なる中間層ホール領域VR1及びVR2、ゲート領域GRB2及びGRB3の間のS/D金属領域XR3、S/D金属領域SDR5と重なるVR10及びVR11、ゲート領域SDR4及びVB4と重なるVR5、を含む。ゲート領域GRB3とGRB4との間のS/Dメタル領域SDRXを上から覆うVR13、ゲート領域GRC5とGRC6との間のS/Dメタル領域SDRXに重畳されたVR14及びゲート領域GRC1とGRC2との間のS/Dメタル領域SDRXに重畳されたVR15、及び介在層孔領域VR2とVR3とに重畳された導電領域MR2、介在層孔領域VR1とVR10とに重畳されたMR7、介在層孔領域VR11とVR12とに重畳されたMR8、介在層孔領域VR13と重畳されたMR9、介在層孔領域VR14と重畳されたMR10及び介在層孔領域VR15と重畳された導電領域MR11を含む。。ダミー領域DRはゲート領域GRA1~GRA6、GRB1~GRB6及びGRC1~GRC6、アクティブ領域ARX、誘電体領域OXR1及びOXR2、S/D金属領域SDR1、SDR5及びSDRX、層間孔領域VR1~VR3及びVR10~VR15、及び導電領域MR2及びMR7~MR11を取り囲み、明確にするために図7Bにおいてダミー領域DRの詳細を省略する。
ゲート領域(例えば、ゲート領域GRA1~GRA6、GRB1~GRB6又はGRC1~GRC6のうちの一つ)は製造プロセスにおけるICレイアウトパターンに含まれる領域であり、導電性材料又は誘電体材料のうちの少なくとも一つを含むIC素子におけるゲート構造を定義する一部とする。様々な実施例において、ゲート領域に対応する一つ又は複数のゲート構造は上被覆及び/又は少なくとも一種の誘電体材料を取り囲む少なくとも一種の導電性材料を含む。様々な実施例において、ゲート領域GRA1~GRA6、GRB1~GRB6及び/又はGRC1~GRC6は製造プロセスに含まれ、以上の図1A~図4Dに関して説明した対応するゲート構造GA1~GA6、GB1~GB6及び/又はGC1~GC6の一部を定義する。
アクティブ領域(例えば、アクティブ領域ARX又はARD)は製造プロセスに含まれるICレイアウトパターンにおける領域であり、以上の図1A~図4Dに関して説明したIC素子のうちの一つ又は複数のアクティブ領域を定義する一部とする。様々な実施例において、アクティブ領域ARX及び/又はARDは製造プロセスに含まれ、以上の図1A~図4Dに関して説明されたアクティブ領域AAX及び/又はAADを定義する一部とする。
誘電体領域(例えば、誘電体領域OXR1又はOXR2)は製造プロセスにおけるICレイアウトパターンに含まれる領域であり、図1A~図4Dに関して上述したIC素子における一つ又は複数の誘電体層(例えば、フィールド酸化物)を定義する一部とする。様々な実施例において、誘電体領域OXR1及び/又はOXR2は製造プロセスに含まれ、以上の図1A~図4Dに関して説明した誘電体層FOX1及び/又はFOX2を定義する一部とする。
S/D金属領域(例えば、S/D金属領域SDR1、SDR5又はSDRXのうちの一つ)は製造プロセスにおけるICレイアウトパターンに含まれる領域であり、S/D金属部分(半導体基板の誘電体層又はアクティブ領域上)を定義する一部とする。様々な実施例において、S/D金属領域SDR1、SDR5又はSDRXのうちの一つ又は複数は製造プロセスに含まれ、図1A~図4Dに関して上述したS/D金属部分SDMR1~SDMR5のうちの一つ又は複数を定義する一部とする。
介在層孔領域(例えば、介在層孔領域VR1~VR3又はVR10~VR15のうちの一つ)は製造プロセスに含まれるICレイアウトパターンの領域であり、IC素子のうちの一つ又は複数の導電層を含む一つ又は複数のセクションを定義する介在層孔の一部とし、この介在層孔は一つ又は複数の下地のコンタクト、導電セクション又はゲート構造と一つ又は複数の上を上から覆う導電セクションとの間に電気的接続を形成するために用いられる。様々な実施例において、介在層孔領域VR1~VR3又はVR10~VR15のうちの一つ又は複数は製造プロセスに含まれ、以上の図1A~図4Dに関して説明した対応する介在層孔V1~V3及び/又はV10~V15の一部を定義する。
導電性領域(例えば、導電性領域MR1、MR2又はMR7~MR11のうちの一つ)は製造プロセスにおけるICレイアウトパターンに含まれる領域であり、IC素子における導電層を定義する一つ又は複数の導電性(例えば、金属)セグメントの一部とする。様々な実施例において、導電領域はIC素子におけるMD層、介在層孔層、第1の金属層又は第2の以上の金属層のうちの一つ又は複数に対応する。様々な実施例において、導電領域MR1、MR2又はMR7~MR11のうちの一つ又は複数は製造プロセスに含まれ、以上の図1A~図4Dに関して説明した対応する導電セグメントMS1、MS2及び/又はMS7~MS11を定義する一部とする。
ダミー領域(例えば、ダミー領域DR)は製造プロセスにおけるICレイアウトパターンに含まれる領域であり、製造装置を均一にロードするように設計された一つ又は複数のパターンに基づいて一つ又は複数のIC特徴(例えば、図1A~図1G及び図4A~図4Dに関して上述したダミーゲート構造GD、ダミーアクティブ領域AAD及び/又はダミーS/D金属部分SDMD)の一部を定義する。いくつかの実施例において、ダミー領域DRの一部又は全部は製造プロセスに含まれ、以上の図1A~図1G及び図4A~図4Dに関して説明したダミー領域DZの一部又は全部を定義する一部とする。
図7Aに示されかつ上記構成により、ICレイアウトパターン700Aは図1A~図1Cに示されたIC素子100の実施例に対応する。図7Bに示されかつ上記構成により、ICレイアウトパターン700Bは図1Gに示されたIC素子100の実施例に対応する。
操作610において、ICレイアウトパターンにおいて抵抗器単位セル(unit cell)及びMOS単位セルを位置決めする。位置決め抵抗およびMOS単位セルの動作は、第1のゲート領域の少なくとも一部と、第2のゲート領域の少なくとも一部と、第1のゲート領域と第2のゲート領域との間のS/Dメタル領域とを含む単位セルの位置決めを含む。抵抗器単位セルは誘電体層(例えば、フィールド酸化物層)の上を上から覆うS/D金属領域に対応するS/D金属部分を製造することに対応する情報を含み、例えば、アクティブ領域ブロック層である。MOS単位セルは一つ又は複数のトランジスタ設計仕様に基づいてS/D金属領域に対応するS/D金属部分を製造することに対応する情報を含み、例えば、低閾値電圧層である。様々な実施例において、MOS単位セル情報は最小ゲート/チャネル長さ又は所定の比率(例えば、1.5:1)で最小ゲートチャネル長さに関連するゲート/チャネル長さを有するトランジスタに対応する。
いくつかの実施例において、位置決め抵抗器及びMOS単位セルのそれぞれはICレイアウトパターンの単一のダミー領域(例えば、ダミー領域DR)内に位置決め抵抗器及びMOS単位セルを含む。様々な実施例において、位置決め抵抗器及びMOS単位セルのそれぞれはICレイアウトパターンの単一の列内又は複数の列に位置決め抵抗器及びMOS単位セルを含む。
いくつかの実施例において、位置決め抵抗器単位セルは図7Aに示されたICレイアウトパターン700A及び図7Bに示されたICレイアウトパターン700Bにおける位置決め抵抗器単位セルRUC1を含み、この抵抗器単位セルRUC1はゲート領域GRA2及びGRA3、誘電体領域OXR1の一部又は全部及びS/D金属領域SDR1を含む。
いくつかの実施例において、抵抗器単位セルを位置決めすることはICレイアウトパターンにおいてこの抵抗器単位セルを含む複数の抵抗器単位セルを位置決めすることを含む。いくつかの実施例において、複数の抵抗器単位セルを位置決めすることは図7Bに示されたICレイアウトパターン700Bにおける抵抗器単位セルRUC1及び抵抗器単位セルRUC2を位置決めすることを含み、この抵抗器単位セルRUC2はゲート領域GRA4及びGRA5、誘電体領域OXR2の一部又は全部及びS/D金属領域SDR5を含む。
いくつかの実施例において、位置決め抵抗器単位セルはS/D金属領域を隣接するユニット列に跨るように延伸することを含む。いくつかの実施例において、S/D金属領域を隣接するユニット列を跨いで延在して図1Fに示されたIC素子100の実施例に対応するS/D金属部分SDMR1を延在するS/D金属領域を含むように延在する。
いくつかの実施例において、位置決めMOS単位セルは図7Aに示されたICレイアウトパターン700A及び図7Bに示されたICレイアウトパターン700Bにおける位置決めMOS単位セルXUC1を含み、このMOS単位セルXUC1はゲート領域GRB2及びGRB3、一つ又は複数のアクティブ領域AAXのうちのいくつか又は全部及び対応するS/D金属領域SDRXを含む。
いくつかの実施例において、MOS単位セルを位置決めすることはICレイアウトパターンにおいてこのMOS単位セルを含む複数のMOS単位セルを位置決めすることを含む。いくつかの実施例において、複数のMOS単位セルを位置決めすることは図7Bに示されたICレイアウトパターン700BにおけるMOS単位セルXUC1及びMOS単位セルXUC2を位置決めすることを含み、このMOS単位セルXUC2はゲート領域GRB4及びGRB5、一つ又は複数のアクティブ領域AAXのうちのいくつか又は全部及び対応するS/D金属領域SDRXを含む。
操作620において、抵抗器単位セルを第1の介在層孔領域と重畳させ、かつMOS単位セルを第2の介在層孔領域と重畳させる。抵抗器単位セルを第1の介在層孔領域と重ねることは抵抗器単位セルのS/D金属領域を第1の介在層孔領域と重ねることを含み、かつMOS単位セルを第2の介在層孔領域と重ねることはMOS単位セルのS/D金属領域を第2の介在層孔領域と重ねることを含む。
いくつかの実施例において、抵抗器単位セルを第1の介在層孔領域と重畳させることはS/D金属領域SDR1を介在層孔領域VR1と重畳させることを含む。いくつかの実施例において、MOS単位セルを第2の介在層孔領域と重畳させることはS/D金属領域SDRXを介在層孔領域VR3と重畳させることを含む。
いくつかの実施例において、抵抗器単位セルを第1の介在層孔領域と重ねることは抵抗器単位セルのS/D領域を第3の介在層孔領域と重ねることを含む。いくつかの実施例において、抵抗器単位セルを第3の介在層孔領域と重畳させることはS/D金属領域SDR1を介在層孔領域VR2と重畳させることを含む。
いくつかの実施例において、重畳抵抗器単位セルはICレイアウトパターンにおいてこの抵抗器単位セルを含む複数の抵抗器単位セルを重畳することを含む。いくつかの実施例において、複数の抵抗器単位セルを重ねることはS/D金属領域SDR5と介在層孔領域VR11を重ねることを含む。
いくつかの実施例において、重畳MOS単位セルはICレイアウトパターンにおいてこのMOS単位セルを含む複数のMOS単位セルを重畳することを含む。いくつかの実施例において、複数のMOS単位セルを重ねることはS/D金属領域SDRXと介在層孔領域VR12を重ねることを含む。
操作630において、第1の及び第2の介在層孔領域を連続的な導電領域と重畳させる。いくつかの実施例において、第1の及び第2の介在層孔領域を連続的な導電領域と重畳させることは第1の及び第2の介在層孔領域を単一の導電領域と重畳させることを含む。いくつかの実施例において、第1の及び第2の介在層孔領域を連続的な導電領域と重畳させることは第1の介在層孔領域を第1の導電領域と重畳させかつ第2の介在層孔領域を第2の導電領域と重畳させることを含み、この第2の導電領域は第1の導電領域に隣接する。
いくつかの実施例において、第1の及び第2の誘電層孔領域を連続的な導電領域と重畳させることは誘電層孔領域VR1及びVR3を導電領域MR2と重畳させることを含む。いくつかの実施例において、第1の及び第2の誘電層孔領域を連続的な導電領域と重畳させることは誘電層孔領域VR11及びVR12を導電領域MR8と重畳させることを含む。
様々な実施例において、第1の及び第2の介在層孔ホール領域と連続導電領域をICレイアウトパターンに基づいて製造された一つ又は複数のIC素子の金属相互接続に対応する複数の導電領域の一部に配置するように重ね合わせる。
様々な実施例において、第1の及び第2の介在層孔ホール領域と連続的な導電領域を図1A~図2Dに示されたIC素子100又は200A~200Dのうちの一つ又は複数に対応する複数の導電領域の一部を配置するように重畳させる。
操作640において、いくつかの実施例において、ICレイアウトパターンを記憶装置に記憶する。様々な実施例において、ICレイアウトパターンを記憶装置に記憶することはICレイアウトパターンを不揮発性、コンピュータ読み取り可能なメモリ又はユニットライブラリ(例えば、データベース)に記憶することを含み、及び/又はネットワークを介してICレイアウトパターンを記憶することを含む。いくつかの実施例において、ICレイアウトパターンを記憶装置に記憶することはICレイアウトパターンを以下の図8に関して説明されたEDAシステム800のネットワーク814に記憶することを含む。
操作650において、いくつかの実施例において、ICレイアウトパターンに基づいて一つ又は複数の半導体フォトマスクのうちの少なくとも一つ又は半導体ICの層のうちの少なくとも一つの部材を製造する。以下、図9について、一又は複数の半導体フォトマスク又は半導体ICを製造する層のうち少なくとも一つの部材について述べる。
操作660において、いくつかの実施例において、ICレイアウトパターンに基づいて一つ又は複数の製造操作を実行する。いくつかの実施例において、一つ又は複数の製造操作を実行することはICレイアウトパターンに基づいて一つ又は複数回のリソグラフィ露光を実行することを含む。以下に図9についてICレイアウトパターンに基づいて一つ又は複数の製造操作(例えば、一つ又は複数回のリソグラフィ露光)を実行することを説明する。
方法600の操作のうちの一部又は全部を実行することにより、誘電体領域と重なるS/D金属領域を含むICレイアウトパターン(例えば、ICレイアウトパターン700A又は700B)を生成し、それによりICレイアウトパターンに基づいて製造されたIC素子の負荷抵抗として用いられるS/D金属部分を形成するために用いられる。方法600はこれによりICレイアウトパターン及び上記IC素子100及び200A-200Dに関連して説明された利点を有する素子を生成することができる。
図8は、いくつかの実施形態に係るEDAシステム800のブロック図である。
いくつかの実施例において、EDAシステム800は自動化レイアウト及び配線(automated place-and-route、APR)システムを含む。いくつかの実施例によれば、例えば、EDAシステム800を使用して一つ又は複数の実施例の設計に基づいて電線配線配置のレイアウトパターンを表示する本明細書の前記方法を実施することができる。
いくつかの実施例において、EDAシステム800は汎用計算装置であり、それはプロセッサ802及び非一時的なコンピュータ可読記憶媒体804(いくつかの実施例においてメモリ804とも呼ばれる)を含む。コンピュータ可読記憶媒体804(他の以外)にコンピュータプログラムコード806が符号化(すなわち、記憶)され、すなわち、一組の実行可能な指令(いくつかの実施例において指令806とも呼ばれる)である。プロセッサ802のコンピュータプログラムコード806に対する実行(少なくとも部分的)はEDAツールを示し、このEDAツールは実施例に係る方法の一部又は全部を実施し、例えば、以上の図6に記載の方法600(以下では、前記製造プロセス及び/又は方法である)。
プロセッサ802は、バス808を介してコンピュータ可読記憶媒体804に電気的に接続される。また、プロセッサ802は、バス808を介して入出力インタフェース810と電気的に接続されている。ネットワークインタフェース812は、バス808を介してプロセッサ802とも電気的に接続される。ネットワークインタフェース812はネットワーク814に接続され、それによりプロセッサ802及びコンピュータ読み取り可能な記憶媒体804はネットワーク814を介して外部素子に接続することができる。プロセッサ802はコンピュータ可読記憶媒体804に符号化されたコンピュータプログラムコード806を実行することにより、システム800は前記製造プロセス及び/又は方法の一部又は全部を実行するために用いられる。一つ又は複数の実施例において、プロセッサ802は中央処理ユニット(central processing unit、CPU)、マルチプロセッサ、分散型処理システム、特殊アプリケーション集積回路(application specific integrated circuit、ASIC)及び/又は適切な処理ユニットである。
一つ又は複数の実施例において、コンピュータ読み取り可能な記憶媒体804は電子的、磁性的、光学的、電磁的、赤外線及び/又は半導体のシステム(又は装置又は装置)である。例えば、コンピュータ可読記憶媒体804は半導体又は固体のメモリ、磁気テープ、取り外し可能なコンピュータディスク、ランダムアクセスメモリ(randomAccess memory、RAM)、読み出し専用メモリ(read-only memory、ROM)、剛性ディスク及び/又は光ディスクを含む。光ディスクを使用する一つ又は複数の実施例において、コンピュータ読み取り可能な記憶媒体804は圧縮光ディスク読み取り専用メモリ(compact disk-read only memory、CD-ROM)、圧縮光ディスク-読み取り/書き込み(compact disk-read/write、CD-R/W)及び/又はデジタルビデオディスク(digital video disc、DVD)を含む。
一つ又は複数の実施例において、コンピュータ読み取り可能な記憶媒体804はコンピュータプログラムコード806を記憶し、このコンピュータプログラムコード806はシステム800(ここでEDAツールを実行(少なくとも部分的)に示す)が前記製造プロセス及び/又は方法のうちの一部又は全部を実行するために用いられる。一つ又は複数の実施例において、コンピュータ読み取り可能な記憶媒体804も情報を記憶し、この情報は前記製造プロセス及び/又は方法の一部又は全部の実行を促進する。一つ又は複数の実施例において、コンピュータ可読記憶媒体804は本明細書に開示されたICレイアウトパターン(例えば、以上の図6~図7Bに関して説明されたICレイアウトパターン700A及び/又は700B)を含む(複数の)ICレイアウトパターン807を記憶する。
EDAシステム800は、I/O(Input/Output)インタフェース810を有する。I/Oインタフェース810は、外部回路系に接続される。一つ又は複数の実施例において、I/Oインタフェース810はキーボード、キーパッド、マウス、トラックボール、タッチパッド、タッチ式スクリーン及び/又はカーソル方向キーを含み、それにより情報及びコマンドをプロセッサ802に伝達するために用いられる。
また、EDAシステム800は、プロセッサ802に接続されるネットワークインタフェース812を有する。ネットワークインタフェース812はシステム800と一つ又は複数の他のコンピュータシステムが接続されたネットワーク814との通信を許可する。ネットワークインタフェース812は無線ネットワークインタフェースを含み、例えば、青芽、WIFI、WIMAX、GPRS又はWCDMAである、又は有線ネットワークインタフェースであり、例えば、イーサネット、USB又はIEEE-1364である。一つ又は複数の実施例において、前記製造プロセス及び/又は方法の一部又は全部は二つ又は複数のシステム800において実施される。
システム800はI/Oインタフェース810を介して情報を受信するために用いられる。I/Oインタフェース810を介して受信される情報は命令、データ、設計規則、標準ユニットのライブラリ及び/又はプロセッサ802が処理するための他のパラメータのうちの一つ又は複数を含む。これらの情報は、バス808を介してプロセッサ802に転送される。EDAシステム800は、I/Oインタフェース810を介してUIに関する情報を受信するために用いられる。この情報はユーザーインタフェース(user interface、UI)842としてコンピュータ可読媒体804に記憶される。
いくつかの実施例において、前記製造プロセス及び/又は方法の一部又は全部をプロセッサにより実行するための独立したソフトウェアアプリケーションプログラムとして実施する。いくつかの実施例において、前記製造プロセス及び/又は方法の一部又は全部を追加のソフトウェアアプリケーションプログラムの一部であるソフトウェアアプリケーションプログラムとして実施する。いくつかの実施例において、前記製造プロセス及び/又は方法の一部又は全部をソフトウェアアプリケーションのプラグインとして実施する。いくつかの実施例において、前記製造プロセス及び/又は方法のうちの少なくとも一つをEDAツールの一部のソフトウェアアプリケーションプログラムとして実施する。いくつかの実施例において、前記製造プロセス及び/又は方法の一部又は全部をEDAシステム800により使用されるソフトウェアアプリケーションプログラムとして実施する。いくつかの実施例において、例えばCADENCE設計システム有限会社から購入可能なVIRTUOSO?又は他の適切なレイアウト生成ツールを使用して標準ユニットを含むレイアウトパターンを生成する。
いくつかの実施例において、製造プロセスを非一時的なコンピュータ可読記録媒体に記憶されたプログラムの機能として実現する。非一時的なコンピュータ可読記録媒体の例は外部の/取り外し可能及び/又は内部の/埋め込み式メモリ又はメモリユニットを含むがこれらに限定されず、例えば、光ディスク(例えば、DVD)、磁気ディスク(例えば、ハードディスク)、半導体メモリ(例えば、ROM、RAM)、メモリカード及びその類似者のうちの一つ又は複数である。
図9は、一部の実施形態に係るIC製造システム900及びそれに関連するIC製造フローを示すブロック図である。いくつかの実施例において、レイアウトパターンに基づいて、製造システム900を用いて(A)一つ以上の半導体フォトマスク又は(B)半導体集積回路の層における少なくとも一つの部材のうちの少なくとも一つを製造する。
図9において、IC製造システム900はIC素子960の製造に関連する設計、開発及び製造サイクル及び/又はサービスにおいて相互作用するエンティティを含み、例えば、設計室920、マスク室930及びIC製造者/製造者(「ウェハ工場」)950である。通信ネットワークを介してシステム900におけるエンティティが接続される。いくつかの実施例において、通信ネットワークは単一のネットワークである。いくつかの実施例において、通信ネットワークは複数の異なるネットワークであり、例えば、内部ネットワーク及びインターネットネットワークである。通信ネットワークは有線及び/又は無線の通信チャンネルを含む。各エンティティは他のエンティティのうちの一つ又は複数と対話し、かつ他のエンティティのうちの一つ又は複数にサービスを提供し及び/又は他のエンティティのうちの一つ又は複数からサービスを受信する。いくつかの実施例において、設計室920、フォトマスク室930及びICウェハ工場950のうちの二者又はそれ以上は単一の大きな会社によって所有される。いくつかの実施例において、設計室920、マスク室930及びICウェハ工場950のうちの二者又はそれ以上は共用設備に共存しかつ共用リソースを使用する。
設計室(又は設計チーム)920はIC設計レイアウトパターン922を生成する。IC設計レイアウトパターン922はIC素子960(例えば、以上の図1A~図1Gに関して述べたIC素子100)に設計された様々な幾何学的パターンを含み、例えば、以上の図6~図7Bに関して述べたICレイアウトパターン700A又は700Bである。幾何学的パターンは、製造されるIC素子960を構成する各種部材の金属、酸化物又は半導体層のパターンに対応する。様々な層を組み合わせて様々なIC特徴を形成する。例えば、IC設計レイアウトパターン922の一部は半導体基板(例えば、シリコンウェハ)に形成される様々なIC特徴を含み、例えば、アクティブ領域、ゲート電極、ソースとドレイン、層間相互接続の金属配線又は介在層孔、及びパッドを接合するための開口、及び半導体基板に配置された様々な材料層である。設計室920は適切な設計プログラムを実施してIC設計レイアウトパターン922を形成する。設計プログラムは論理設計、物理設計又は配置及び配線のうちの一つ又は複数を含む。IC設計レイアウトパターン922は、幾何学的パターンの情報を有する一つ以上のデータファイルに表示される。例えば、GDSIIファイルフォーマット又はDFIIファイルフォーマットでIC設計レイアウトパターン922を表記することができる。
マスク室930は、データ準備932及びマスク製造944を含む。フォトマスク室930はIC設計レイアウトパターン922を使用して一つ又は複数のフォトマスク945を製造することにより、IC設計レイアウトパターン922に基づいてIC素子960の様々な層を製造するために用いられる。マスク室930はマスクデータ準備932を実行し、ここでIC設計レイアウトパターン922は代表的なデータファイル(representative dataFile、「RDF」)に翻訳される。マスクデータ準備932は、RDFをマスク製造944に供給する。マスク製造944は、マスク直写機を含む。フォトマスク直接書き込み機はRDFを基板(例えば、フォトマスク(主フォトマスク)945又は半導体ウェハ953)上の画像に変換する。マスクデータ準備932は設計レイアウトパターン922を操作してマスク直接書き込み機の特定の特性及び/又はICウェハ工場950の要求に合致する。図9において、フォトマスクデータ準備932及びフォトマスク製造944を単独の部品として示す。いくつかの実施例において、マスクデータ準備932及びマスク製造944をマスクデータ準備と総称することができる。
いくつかの実施例において、マスクデータ準備932は光学的近接補正(optical proximity correction、OPC)を含み、それはリソグラフィ補強技術を使用して映像誤差を補償し、例えば、回折、干渉、他の製造プロセス効果及びその類似者による映像誤差である可能性がある。OPC調整ICは、レイアウトパターン922を設計する。いくつかの実施例において、マスクデータ準備932は他の解像度増強技術(resolutionEnhancement techniques、RET)を含み、例えば、軸外照射、二次解像度補助特徴、相間移動マスク、他の適切な技術、及びその類似者又はそれらの組み合わせである。いくつかの実施例において、逆方向リソグラフィ技術(inverse lithography technology、ILT)も使用され、それはOPCを逆方向イメージング問題と見なす。
いくつかの実施例において、マスクデータ準備932はマスク規則検査器(mask rule checker、MRC)を含み、それは一組のマスク作成規則によりOPCでの処理が行われたIC設計レイアウトパターン922を検査し、これらのマスク作成規則はいくつかの幾何学的形状及び/又は接続性制限を含み、それにより十分なマージンを確保し、半導体製造プロセスにおける変性、及びそれに類似するものを解決する。いくつかの実施例において、MRCはIC設計レイアウトパターン922を修正することにより、フォトマスク製造944期間の制限を補償し、これはOPCが実行した修正の一部を取り消すことによりフォトマスク作成ルールに合致することができる。
いくつかの実施例において、マスクデータ準備932はリソグラフィ製造プロセス検査(lithography process checking、LPC)を含み、それはICウェハ工場950により実施されてIC素子960を製造する処理をシミュレーションする。LPCはIC設計レイアウトパターン922に基づいてこの処理をシミュレートすることにより、製造をシミュレートする素子を作成し、例えば、IC素子960である。LPCシミュレーションにおける処理パラメータは、IC製造サイクルの各種レシピに関連するパラメータ、ICを製造するためのツールに関連するパラメータ及び/又は製造レシピの他の態様を含み得る。LPCは様々な要因を考慮し、例えば、空間映像コントラスト、焦点深度(depth ofFocus、「DOF」)、マスク誤差強化要因(maskErrorEnhancementFactor、「MEEF」)、他の適切な要因、及びその類似のもの又はそれらの組み合わせである。いくつかの実施例において、LPCがシミュレーション製造された素子を作成した後、シミュレーション素子の形状が足りず設計ルールを満たさない場合、OPC及び/又はMRCを繰り返してIC設計レイアウトパターン922をさらに改善する。
理解すべきことは、明確な目的のために、マスクデータ準備932の以上の説明を簡略化した。いくつかの実施例において、データ準備932は論理演算(logic operation、LOP)のような追加の特徴を含むことにより、製造ルールに基づいてIC設計レイアウトパターン922を修正する。また、複数の異なる順序でデータ準備932期間にIC設計レイアウトパターン922に応用される処理を実行することができる。
フォトマスクデータの準備932の後かつフォトマスク製造944の間に、修正されたIC設計レイアウトパターン922に基づいてフォトマスク945又はフォトマスク945のグループを製造する。いくつかの実施例において、フォトマスク製造944はIC設計レイアウトパターン922に基づいて一つ以上のリソグラフィ露光を実行することを含む。いくつかの実施例において、電子ビーム(e-beam)又は複数の電子ビームを使用するメカニズムは修正されたIC設計レイアウトパターン922に基づいてフォトマスク(フォトマスク又は主フォトマスク)945にパターンを形成する。マスク945は、種々の手法で形成することができる。いくつかの実施例において、二次元技術を用いてフォトマスク945を形成する。いくつかの実施例において、フォトマスクパターンは不透明領域及び透明領域を含む。ウェハに塗布された画像感応材料層(例えば、フォトレジスト)を露光するための放射ビーム(例えば、紫外線(ultraviolet、UV)ビーム)は不透明領域に遮断されかつ透明領域を透過する。一実施例において、フォトマスク945のバイナリフォトマスクバージョンは透明基板(例えば、溶融石英)及びバイナリフォトマスクの不透明領域に塗布された不透明材料(例えば、クロム)を含む。別の実施例において、相転移技術を使用してフォトマスク945を形成する。フォトマスク945の相転移フォトマスク(phase shift mask、PSM)バージョンにおいて、相転移フォトマスクに形成されたパターンにおける様々な特徴は適切な位相差を有することにより、解像度及び結像品質を向上させることに用いられる。様々な実施例において、相間移動フォトマスクは減衰PSM又は交替PSMであってもよい。フォトマスク製造944により生成された(複数の)フォトマスクは様々な製造プロセスに用いられる。例えば、この(これらの)フォトマスクはイオン注入製造プロセスにおいて半導体ウェハ953に様々なドーピング領域を形成するために用いられ、エッチング製造プロセスにおいて半導体ウェハ953に様々なエッチング領域を形成するために用いられ、及び/又は他の適切な製造プロセスにおいて用いられる。
ICウェハ工場950はIC製造会社であり、それは複数の異なるIC製品を製造するための一つ又は複数の製造設備を含む。いくつかの実施例において、ICウェハ工場950は半導体代工場である。例えば、複数のIC製品のフロントエンド製造(前段製造プロセス(FEOL)製造)のための製造施設が存在する可能性があり、第2の製造施設はIC製品の相互接続及びパッケージのためのバックエンド製造(後段製造プロセス(BEOL)製造)を提供することができ、かつ第3の製造施設は代行工場会社に他のサービスを提供することができる。
ICウェハ工場950はウェハ製造ツール952を含み、このウェハ製造ツール952は半導体ウェハ953に対して様々な製造操作を実行することにより、(複数の)フォトマスク(例えば、フォトマスク945)に基づいてIC素子960を製造するために用いられる。様々な実施例において、製造ツール952はウェハステッパー、イオンインプラント、フォトレジスト塗布機、処理チャンバ(例えば、CVDチャンバ又はLPCVD炉)、CMPシステム、プラズマエッチングシステム、ウェハクリーニングシステム又は本明細書に説明された一つ又は複数の適切な製造プロセスを実行できる他の製造装置のうちの一つ又は複数を含む。
ICウエハ工場950は、マスク室930で製造された(いくつかの)マスク945を用いてIC素子960を製造する。したがって、ICウェハ工場950は少なくとも間接的にIC設計レイアウトパターン922を使用してIC素子960を製造する。いくつかの実施例において、ICウェハ工場950により(いくつかの)フォトマスク945を使用して半導体ウェハ953を製造してIC素子960を形成する。いくつかの実施例において、IC製造は少なくとも間接地を含みIC設計レイアウトパターン922に基づいて一つ又は複数回のリソグラフィ露光を実行する。半導体ウエハ953は、シリコン基板や、その上に材料層が形成された他の適切な基板を含む。半導体ウエハ953は、さらに、各種のドーピング領域、誘電特性、多層配線等(後の製造工程で形成される)を有している。
集積回路(IC)の製造システム(例えば、図9のシステム900)及びそれに関連するICの製造フローに関する詳細は以下のとおりである:2016年2月9日に登録された米国特許第9、256、709号、2015年10月1日に公開された米国登録対象公開特許第2の0150278429号、2014年2月6日に公開された米国登録対象公開特許第2の0140040838号、及び2007年8月21日に登録された米国特許第7、260、442号、ここで各者の全ての内容はこれに基づいて引用方式で組み込まれる。
いくつかの実施例において、IC素子は、第1の及び第2のアクティブ領域の間のゲート構造を含むトランジスタと、第1のアクティブ領域を上から覆う第1のS/D金属部分と、及び第2のアクティブ領域を上から覆う第2のS/D金属部分と、誘電体層に位置決めされかつ第1の及び第2のS/D金属部分と同じ層に位置する第3のS/D金属部分を含む負荷抵抗器と、第1のS/D金属部分を上から覆う第1の介在層孔と、第3のS/D金属部分を上から覆う第2の及び第3の介在層孔と、第1の介在層孔を第2の介在層孔に電気的に接続するための第1の導電構造と、を含む。
いくつかの実施例において、ゲート構造は高誘電率誘電材料を含む。
いくつかの実施例において、誘電体層は第1のアクティブ領域に隣接する。
いくつかの実施例において、第1のソース/ドレイン金属部分、第3のソース/ドレイン金属部分及び導電構造は第1の方向に沿って位置合わせされる。
いくつかの実施例において、ゲート構造は複数のゲート構造の第1の列における一つのゲート構造である。第3のソース/ドレインメタル部は、複数のゲート構造の第1の列に隣接する複数のゲート構造の第2の列における複数の隣接するゲート構造の間に位置する。
いくつかの実施例において、第3のソース/ドレイン金属部分は、さらにゲート構造の第1の列における複数の隣接するゲート構造の間に位置する。
いくつかの実施例において、負荷抵抗器は、第四ソース/ドレイン金属部分、第四インタフェース孔及び第2の導電構造をさらに含む。第4ソース/ドレインメタル部は、第1のソース/ドレインメタル部、第2のソース/ドレインメタル部及び第3のソース/ドレインメタル部と同層に位置する。第四介在層孔は第四ソース/ドレイン金属部分を上から覆う。第2の導電構造は第四介在層孔を第2の又は第3の介在層孔のうちの一つに電気的に接続するために用いられる。
いくつかの実施例において、IC素子は、第3の介在層孔に接触する第2の導電構造をさらに含む。
いくつかの実施例において、CML回路は、ゲート構造の第1の列における第1の対のゲート構造の間に位置する第1の誘電体層に位置する第1のS/D金属部分を含む第1の抵抗器と、ゲート構造の第1の列における第2の対のゲート構造の間に位置する第2の誘電体層に位置する第2のS/D金属部分を含む第2の抵抗器と、ゲート構造の第2の列における第1のゲート構造、第1の及び第2のS/D構造、第1のS/D構造における第3のS/D金属部分、及び第2のS/D構造における第四S/D金属部分を含む第1のトランジスタと、ゲート構造の第2の列における第2のゲート構造、第2のS/D構造、第四S/D金属部分、第3のS/D構造、及び第3のS/D構造における第五S/D金属部分を含む第2のトランジスタと、ゲート構造の第3の列における第1のゲート構造、第四及び第五S/D構造、第四S/D構造、及び第六S/D金属部分を含む第3のトランジスタと、を含む。第1の抵抗器は、第1の、第3の及び第四トランジスタに直列接続され、第2の抵抗器は、第2の、第3の及び第四トランジスタに直列接続され、かつ第1の~第八S/D金属部分は同じ層に位置する。
いくつかの実施例において、複数のゲート構造の第2の列は、ゲート構造の第1の列及び第3の列のそれぞれに隣接する。
いくつかの実施例において、第1のトランジスタ~第四トランジスタのそれぞれはNMOSトランジスタである。第1のの抵抗および第2のの抵抗のそれぞれは、電源電圧を供給するための導電構造体に接続されている。
いくつかの実施例において、第1のトランジスタ~第四トランジスタのそれぞれは、PMOSトランジスタである。第1の抵抗および第2の抵抗のそれぞれは、基準電圧を保持するための導電構造体に接続されている。
いくつかの実施例において、第1のトランジスタ~第四トランジスタのそれぞれのゲート構造は同じゲート長に対応する。
いくつかの実施例において、ICレイアウトパターンを生成する方法であって、ICレイアウトパターンにおいて抵抗器はS/D金属領域を含む抵抗器単位セルを位置決めすることICレイアウトパターンにおいてMOS単位セルを位置決めすること、抵抗器単位セルを第1の介在層孔領域と重ねること、MOS単位セルを第2の介在層孔領域と重ねること、第1の及び第2の介在層孔領域を連続導電領域と重ねること、及びICレイアウトパターンを記憶装置に記憶することを含む。
いくつかの実施例において、ICレイアウトパターンにおいて抵抗器単位セルを位置決めする操作はアクティブ領域バリア層を含む抵抗器単位セルを位置決めすることを含む。
いくつかの実施例において、このICレイアウトパターンにおいて抵抗器単位セルを位置決めする操作は抵抗器単位セルを第1のユニット列に位置決めすることを含む。ICレイアウトパターンにおいてMOS単位セルを位置決めする動作はMOS単位セルを第1のユニット列に隣接する第2のユニット列に位置決めすることを含む。
いくつかの実施例において、抵抗器単位セルを位置決めする操作は複数のダミーゲートを含むダミー領域により抵抗器単位セルを取り囲むことを含む。MOS単位セルを位置決めする動作は、ダミー領域を介してMOS単位セルを取り囲むことを含む。
いくつかの実施例において、第1の介在層孔領域及び第2の介在層孔領域を連続的な導電領域と重畳させる操作は第1の介在層孔領域及び第2の介在層孔領域を単一の導電領域と重畳させることを含む。
いくつかの実施例において、ICレイアウトパターンにおいて抵抗器単位セルを位置決めする操作はICレイアウトパターンにおいて第1の抵抗器単位セルを位置決めすることを含む。ICレイアウトパターンを生成する方法はさらに以下の操作を行う。ICレイアウトパターンにおいて第2の抵抗器単位セルを位置決めすること、第1の抵抗器単位セルを第3の誘電層孔領域と重ねること、第2の抵抗器単位セルを第四誘電層孔領域と重ねること、及び第3の誘電層孔領域及び第四誘電層孔領域を単一導電領域と重ねることを含む。
いくつかの実施例において、ICレイアウトパターンにおいて抵抗器単位セルを位置決めする操作はソース/ドレイン金属領域を隣接するユニット列に跨るように延伸することを含む。
以上はいくつかの実施例の特徴を概説することにより、当業者は本開示のいくつかの実施例の態様をよく理解することができる。当業者であれば理解されるように、彼らは本開示のいくつかの実施例を設計又は修正して同じ目的を達成し及び/又は本明細書に紹介された実施例の同じ利点を達成するための他の製造プロセス及び構造の基礎とすることができる。当業者であれば理解されるように、これらの等価構造は本開示のいくつかの実施例の精神及び範囲から逸脱せず、かつそれらは本開示のいくつかの実施例の精神及び範囲から逸脱することなく本明細書で様々な変更、代替及び置換を行うことができる。
当業者は、開示された実施例のうちの一つ以上が上記の利点のうちの一つ以上を満たすことを容易に見ることができる。前述の明細書を読んだ後、当業者は本明細書に広く開示された様々な変化、等価物の代替及び様々な他実施例を実現することができる。したがって、ここで付与しようとする保護は添付の特許請求の範囲及びその等価物に限定される。
100 集積回路(IC)素子
100B 基板
200A IC素子
200B IC素子
200C IC素子
200D IC素子
300 方法
310 操作
320 操作
330 操作
500 方法
510 操作
520 操作
530 操作
540 操作
600 方法
610 操作
620 操作
630 操作
640 操作
650 操作
660 操作
700A ICレイアウトパターン
700B ICレイアウトパターン
800 電子設計自動化システム
802 プロセッサ
804 コンピュータ読み取り可能な記憶媒体
806 コンピュータ・プログラム・コード
807 ICレイアウトパターン
808 バス
810 入力/出力インタフェース
812 ネットワークインタフェース
814 ネットワーク
842 ユーザーインタフェース
900 IC製造システム
920 設計室
922 IC設計レイアウトパターン
930 マスク室
932 データ準備
944 フォトマスクの製造
945 フォトマスク
950 ICウエハ工場
952 ウェーハ製造治具
953 半導体ウェハ
960 IC素子
A-A’、B-B’ 平面
AAD、AAX、ARD、ARX アクティブエリア
C1: 容量性素子
Ck、Ckb 相補クロック信号
DR、DZ ダミー領域
FOX、FOX1、FOX2 誘電体層
GA1、GA2、GA3、GA4、GA5、GA6 ゲート構造
GB1、GB2、GB3、GB4、GB5、GB6 ゲート構造
GC1、GC2、GC3、GC4、GC5、GC6 ゲート構造
GD ゲート構造
GRA1、GRA2、GRA3、GRA4、GRA5、GRA6 ゲート領域
GRB1、GRB2、GRB3、GRB4、GRB5、GRB6 ゲート領域
GRC1、GRC2、GRC3、GRC4、GRC5、GRC6 ゲート領域
GRD ゲート領域
GS ゲート構造
Ip、In 入力信号
MS1、MS2、MS3、MS4、MS5、MS6、MS7、MS8、MS9、MS10、MS11 導電セグメント
MR1、MR2、MR3、MR4、MR5、MR6、MR7、MR8、MR9、MR10、MR11 導電領域
N1、N2、N31、N32、N3N、N3N/2、N4、N5 トランジスタ
On、Op 出力信号
OXR1、OXR2 誘電体領域
P11、P1N、P11-P1N、P2、P3、P4、P5、P6、P7、P8、P9、P10 トランジスタ
RA、RB、RC 列
R0、R1、R2 負荷抵抗器
RUC1、RUC2 抵抗器単位セル
S1、S2、S3 負荷抵抗器部分
S1D、S1E、S1F、S3P 平面図
SDMD、SDMX S/D金属部分
SDMR1、SDMR2、SDMR3、SDMR4、SDMR5 S/Dメタル部分
SDRD、SDRX S/Dメタル領域
SDR1、SDR5 S/Dメタル領域
V1、V2、V3、V4、V5、V6、V7、V8、V9、V10、V11、V12、V13、V14、V15 介在層孔
VR1、VR2、VR3、VR4、VR5、VR6、VR7、VR8、VR9、VR10、VR11、VR12、VR13、VR14、VR15 介在層孔領域
Vbias、VDD 電圧レベル
Vin 入力信号
Vout 出力信号
VR1、VR2、VR3 介在層孔領域
X 方向
XUC1、XUC2 MOS単位セル
Y 方向
Z 方向

Claims (1)

  1. 第1のアクティブ領域と第2のアクティブ領域との間のゲート構造と、
    前記第1のアクティブ領域を上から覆う第1のソース/ドレイン金属部分と、
    前記第2のアクティブ領域を上から覆う第2のソース/ドレイン金属部分と、
    を含むトランジスタと、
    誘電体層に位置決めされかつ前記第1のソース/ドレイン金属部分及び前記第2のソース/ドレイン金属部分と同じ層に位置する第3のソース/ドレイン金属部分を含む負荷抵抗器と、
    前記第1のソース/ドレイン金属部分を上から覆う第1の介在層孔と、
    前記第3のソース/ドレイン金属部分を上から覆う第2の介在層孔及び第3の介在層孔と、
    前記第1の介在層孔を前記第2の介在層孔に電気的に接続するための第1の導電構造と、
    を含むことを特徴とする集積回路素子。
JP2021123517A 2020-07-29 2021-07-28 集積回路素子 Pending JP2022027604A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/942,264 2020-07-29
US16/942,264 US20220037312A1 (en) 2020-07-29 2020-07-29 Integrated circuit device, method, layout, and system

Publications (1)

Publication Number Publication Date
JP2022027604A true JP2022027604A (ja) 2022-02-10

Family

ID=77398392

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021123517A Pending JP2022027604A (ja) 2020-07-29 2021-07-28 集積回路素子

Country Status (5)

Country Link
US (2) US20220037312A1 (ja)
EP (1) EP3945577A1 (ja)
JP (1) JP2022027604A (ja)
CN (1) CN113471195A (ja)
TW (1) TW202205131A (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11217526B2 (en) * 2019-02-28 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source resistor and manufacturing method thereof
US11126775B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4982921B2 (ja) * 2001-03-05 2012-07-25 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7838429B2 (en) * 2007-07-18 2010-11-23 Texas Instruments Incorporated Method to manufacture a thin film resistor
US8242876B2 (en) * 2008-09-17 2012-08-14 Stmicroelectronics, Inc. Dual thin film precision resistance trimming
JP5616826B2 (ja) * 2011-03-13 2014-10-29 セイコーインスツル株式会社 抵抗回路を有する半導体装置
US9006860B2 (en) * 2011-12-06 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate features of semiconductor die
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
KR102021885B1 (ko) * 2012-12-13 2019-09-17 삼성전자주식회사 금속성 저항 구조체를 갖는 반도체 소자
US9035393B2 (en) * 2013-01-31 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for forming an integrated circuit with a metalized resistor in a standard cell configuration
US9240403B2 (en) * 2013-03-01 2016-01-19 United Microelectronics Corp. Embedded resistor
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9515673B2 (en) * 2015-01-19 2016-12-06 Seiko Epson Corporation D/A conversion circuit, oscillator, electronic apparatus, and moving object
US9627373B2 (en) * 2015-08-25 2017-04-18 International Business Machines Corporation CMOS compatible fuse or resistor using self-aligned contacts
US9929135B2 (en) * 2016-03-07 2018-03-27 Micron Technology, Inc. Apparatuses and methods for semiconductor circuit layout
JP6789729B2 (ja) * 2016-08-31 2020-11-25 キヤノン株式会社 半導体装置、液体吐出ヘッド用基板、液体吐出ヘッド、及び液体吐出装置
US10249616B2 (en) * 2017-06-20 2019-04-02 Globalfoundries Inc. Methods of forming a resistor structure between adjacent transistor gates on an integrated circuit product and the resulting devices

Also Published As

Publication number Publication date
US20230402452A1 (en) 2023-12-14
CN113471195A (zh) 2021-10-01
EP3945577A1 (en) 2022-02-02
TW202205131A (zh) 2022-02-01
US20220037312A1 (en) 2022-02-03

Similar Documents

Publication Publication Date Title
US20230402452A1 (en) Integrated circuit layout method
US11720737B2 (en) Semiconductor structure, device, and method
US20230367950A1 (en) Semiconductor structure, device, and method
CN115528023A (zh) 集成电路装置及其制造方法
US11783109B2 (en) IC device manufacturing method
US20240088129A1 (en) Integrated circuit device
US20210358850A1 (en) Integrated circuit device and method
US20230376668A1 (en) Ic device layout method
US20240022252A1 (en) Level shifting circuit manufacturing method
CN113471189A (zh) 集成电路元件
US11967560B2 (en) Integrated circuit
US11715733B2 (en) Integrated circuit device and method
KR20230122963A (ko) Ic 논리 소자, 레이아웃, 시스템, 및 방법
CN113268945A (zh) 制造集成电路的方法
CN113284887A (zh) 对存于非暂性计算机可读媒体布置图制造半导体装置的方法
CN113314529A (zh) 集成电路装置
CN219610436U (zh) 集成电路结构及集成电路装置
TWI814351B (zh) 基於混合單元的元件、佈局和方法
CN219802996U (zh) 存储器以及集成电路装置
TWI660584B (zh) 多工器電路、對電壓進行多工的裝置及其操作方法
US20230386998A1 (en) Source/drain isolation structure, layout, and method
CN118042817A (zh) 集成电路器件、存储器宏及其制造方法