CN219610436U - 集成电路结构及集成电路装置 - Google Patents
集成电路结构及集成电路装置 Download PDFInfo
- Publication number
- CN219610436U CN219610436U CN202321016085.3U CN202321016085U CN219610436U CN 219610436 U CN219610436 U CN 219610436U CN 202321016085 U CN202321016085 U CN 202321016085U CN 219610436 U CN219610436 U CN 219610436U
- Authority
- CN
- China
- Prior art keywords
- metal
- active region
- gate
- region
- segment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 229910052751 metal Inorganic materials 0.000 claims abstract description 352
- 239000002184 metal Substances 0.000 claims abstract description 352
- 239000004065 semiconductor Substances 0.000 claims description 54
- 239000000758 substrate Substances 0.000 claims description 36
- 238000004378 air conditioning Methods 0.000 claims 5
- 238000000034 method Methods 0.000 description 74
- 239000010410 layer Substances 0.000 description 72
- 238000004519 manufacturing process Methods 0.000 description 64
- 238000013461 design Methods 0.000 description 45
- 230000008569 process Effects 0.000 description 29
- 238000010586 diagram Methods 0.000 description 19
- 238000002360 preparation method Methods 0.000 description 16
- 238000003860 storage Methods 0.000 description 16
- 235000012431 wafers Nutrition 0.000 description 16
- 239000000463 material Substances 0.000 description 15
- 230000015654 memory Effects 0.000 description 9
- 238000012545 processing Methods 0.000 description 8
- 230000003287 optical effect Effects 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 238000004891 communication Methods 0.000 description 5
- 238000004590 computer program Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 230000010363 phase shift Effects 0.000 description 5
- 239000004020 conductor Substances 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 239000013256 coordination polymer Substances 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000002064 nanoplatelet Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000012937 correction Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- 238000003384 imaging method Methods 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000012938 design process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5286—Arrangements of power or ground buses
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/392—Floor-planning or layout, e.g. partitioning or placement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Geometry (AREA)
- Manufacturing & Machinery (AREA)
- Theoretical Computer Science (AREA)
- Architecture (AREA)
- Evolutionary Computation (AREA)
- General Engineering & Computer Science (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
一种集成电路结构及集成电路装置,集成电路结构包括:在第一方向上延伸的两个主动区、在第二方向上延伸的两个栅极结构、在第一金属层中在第二方向上延伸的第一金属段、在第二金属层中在第一方向上延伸的第二金属段及第三金属段、及自第三金属段延伸至栅极结构中的一者的栅极通孔结构。栅极结构上覆于主动区,第一金属段上覆于处于栅极结构之间的主动区中的每一者,第二金属段上覆于第一主动区且上覆于且电连接至第一金属段,且第一金属段及第二金属段电连接至第二主动区,与处于栅极结构之间的第一主动区隔离,且在栅极结构外连接至第一主动区。
Description
技术领域
本揭示是关于一种集成电路结构及集成电路装置,特别是具有自对准接触通孔结构的集成电路结构及集成电路装置。
背景技术
将集成电路(integrated circuit,IC)微型化的持续趋势已经导致逐渐变小的装置,该些装置消耗更少电力,却比早期技术在较高速度下提供更强功能性。此微型化已经由与越来越严格的规定关联的设计及制造创新来达成。各种电子设计自动化(electronicdesign automation,EDA)工具是用于在确保IC结构设计及制造规格得到满足的同时产生、修改及验证半导体装置的设计。
实用新型内容
本揭示的一实施例是一种集成电路结构,包含第一主动区及第二主动区、第一栅极结构及第二栅极结构、第一金属段、第二金属段及第三金属段及栅极通孔结构。第一主动区及第二主动区在一半导体基板中在一第一方向上延伸。第一栅极结构及第二栅极结构在垂直于第一方向的一第二方向上延伸,其中第一栅极结构及第二栅极结构中的每一者上覆于第一主动区及第二主动区中的每一者。第一金属段在一第一金属层中在第二方向上延伸,其中第一金属段上覆于处于第一栅极结构与第二栅极结构之间的第一主动区及第二主动区中的每一者。第二金属段及第三金属段在一第二金属层中在第一方向上延伸,其中第二金属段上覆于第一主动区及第一金属段中的每一者且电连接至第一金属段。栅极通孔结构自第三金属段延伸至第一栅极结构或第二栅极结构中的一者。第一金属段及第二金属段电连接至第二主动区,与处于第一栅极结构与第二栅极结构之间的第一主动区的一第一部分电隔离,且电连接至延伸超出第一栅极结构及第二栅极结构的第一主动区的一第二部分。
本揭示的一实施例是一种集成电路装置,包含多个主动区、多个栅极结构、第一复数个金属段、第二复数个金属段及栅极通孔结构。主动区在一半导体基板中在一第一方向上延伸。栅极结构在垂直于第一方向的一第二方向上延伸,其中栅极结构上覆于主动区。第一复数个金属段在一第一金属层中在第二方向上延伸,其中第一复数个金属段中的一第一金属段上覆于主动区中的第一主动区及第二主动区。第二复数个金属段在一第二金属层中在第一方向上延伸,其中第二复数个金属段中的一第一金属段上覆于第一复数个金属段中的第一金属段及主动区中的第一主动区中的每一者,且电连接至第一复数个金属段中的第一金属段。栅极通孔结构自第二复数个金属段中的一第二金属段延伸至栅极结构中的一第二栅极结构。第一复数个金属段及第二复数个金属段中的每一者的第一金属段电连接至主动区中的第二主动区,与邻近栅极结构中的一第二栅极结构的主动区中的第一主动区的一第一部分电隔离,且电连接至主动区中的第一主动区的一第二部分。
本揭示的一实施例是一种集成电路结构,包含:一第一主动区域及一第二主动区域,第一主动区及第二主动区在一半导体基板中在一第一方向上延伸;一第一栅极结构及一第二栅极结构,第一栅极结构及第二栅极结构在垂直于第一方向的一第二方向上延伸,其中第一栅极结构及第二栅极结构中的每一者上覆于第一主动区及第二主动区中的每一者;一第一源极/漏极通孔结构,在第一栅极结构与第二栅极结构之间的第一主动区的一部分上;一第二源极/漏极通孔结构,在远离第一栅极结构及第二栅极结构延伸的第二主动区的一部分上;一第一金属段,第一金属段在一第一金属层中在第二方向上延伸且上覆于第一源极/漏极通孔结构及第二主动区中的每一者;一第二金属段,第二金属段在第一金属层中、上覆于第二源极/漏极通孔结构;一第一通孔结构及一第二通孔结构,第一通孔结构及第二通孔结构上覆于相应的第一金属段及第二金属段;一自对准接触通孔结构,自对准接触通孔结构上覆于第一栅极结构或第二栅极结构中的一者;一第三金属段,第三金属段在一第二金属层中在第一方向上延伸且上覆于第一通孔结构及第二通孔结构中的每一者,由此将第一主动区的部分电连接至第二主动区的部分;及一第四金属段,第四金属段在第二金属层中在第一方向上延伸且在自对准接触通孔结构上。
附图说明
本揭示的一实施例的态样将在结合附图阅读时自以下详细描述最佳地了解。请注意,根据产业中的标准方法,各种特征未按比例绘制。实际上,为了论述清楚起见,各种特征的尺寸可任意地增大或减小。
图1A及图1B为根据一些实施例IC布局图及对应的IC结构的平面图;
图2A及图2B为根据一些实施例IC布局图及对应的IC结构的横截面图;
图3A~图3C为根据一些实施例IC布局图及对应的IC结构的平面图;
图4为根据一些实施例IC布局图及对应的IC结构的平面图的图;
图5为根据一些实施例IC布局图及对应的IC装置的平面图的图;
图6为根据一些实施例IC布局图及对应的IC装置的平面图的图;
图7为根据一些实施例IC布局图及对应的IC装置的平面图的图;
图8为根据一些实施例IC布局图及对应的IC结构的平面图的图;
图9为根据一些实施例IC布局图及对应的IC结构的平面图的图;
图10为根据一些实施例制造IC结构的方法的流程图;
图11为根据一些实施例产生IC布局图的方法的流程图。
图12为根据一些实施例IC布局产生系统的方块图;
图13为根据一些实施例IC制造系统及与该IC制造系统相关联的IC制造流程的方块图。
【符号说明】
100A:IC布局/结构/IC布局图
100B:IC布局/结构/IC布局图
300A:IC布局/结构/IC布局图
300B:IC布局/结构/IC布局图
300C:IC布局/结构/IC布局图
400:IC布局/装置/IC布局图/与非门
500:IC布局/装置/IC布局图/=/或非门
600:IC布局/装置/IC布局图/与或非(AOI)逻辑装置
700:IC布局/装置/IC布局图/或与非(OAI)逻辑装置
800:IC布局/装置/IC布局图
900:IC布局/装置/IC布局图
1000:方法
1010:操作
1020:操作
1030:操作
1040:操作
1050:操作
1060:操作
1100:方法
1110:操作
1120:操作
1130:操作
1140:操作
1150:操作
1160:操作
1170:操作
1180:操作
1200:IC布局图产生系统
1202:(硬件)处理器
1204:非暂时性计算机可读储存媒体、记忆体
1206:计算机程序码/指令
1207:布局库
1208:总线
1210:I/O接口
1212:网络接口
1214:网络
1242:使用者界面(UI)
1300:IC制造系统
1320:设计室(或设计团队)
1322:IC设计布局图
1330:遮罩室
1332:(遮罩)数据准备
1344:遮罩制造
1345:遮罩(光刻罩)
1350:IC制造商/制造者(“晶圆厂”)
1352:(晶圆)制造工具
1353:(半导体)晶圆
1360:IC装置
AA:主动区域/区
AA1:主动区域/区
AA2:主动区域/区
AA3:主动区域/区
AA4:主动区域/区
A-A’:线
A1:信号
A2:信号
B-B’:线
B1:信号
B2:信号
CP:信号
D:信号
EPI:磊晶层
GS:栅极区域/结构
G1:栅极区域/结构
G2:栅极区域/结构
G3:栅极区域/结构
G4:栅极区域/结构
G5:栅极区域/结构
G6:栅极区域/结构
G7:栅极区域/结构
G8:栅极区域/结构
G9:栅极区域/结构
G10:栅极区域/结构
G11:栅极区域/结构
G12:栅极区域/结构
G13:栅极区域/结构
G14:栅极区域/结构
M0:金属区域/段/第一金属区域/结构
M1:金属区域/段/第二金属区域/段
M2:金属段
PR:电力轨道/背部电力轨道
PR1:电力轨道/背部电力轨道
PR2:电力轨道/背部电力轨道
PR3:电力轨道/背部电力轨道
Q:信号
SE:信号
SI:信号
SUB:基板
VB:通孔区域/结构
VD:通孔区域/结构
VDD:电源电压
VG:栅极通孔区域/结构
VSS:电源参考电压
V0:通孔区域/结构
V1:通孔区域/结构
X:方向
Y:方向
Z:方向
ZN:信号
01~013:金属区域/段
具体实施方式
以下揭示内容提供用于实现所提供标的的不同特征的许多不同实施例或实例。组件、值、步骤、操作、材料、配置或类似者的特定实例将在下文描述以简化本揭示的一实施例。当然,这些仅为实例且不欲为限制性的。其他组件、值、操作、材料、配置或类似者是预期的。举例而言,在随后的描述中的第一特征形成于第二特征上方或上可包括第一特征及第二特征是直接接触地形成的实施例,且亦可包括额外特征可形成于第一特征与第二特征之间,使得第一特征及第二特征不可直接接触的实施例。另外,本揭示的一实施例可在各种实例中重复参考数字及/或字母。此重复是出于简单及清楚的目的且本身并不规定所论述的各种实施例及/或组态之间的关系。
此外,为了方便用于描述如诸图中图示的一个元件或特征与另一元件或特征的关系的描述,在本文中可使用空间相关术语,诸如“在……下面”、“在……下”、“下部”、“在……之上”、“上部”及类似术语。空间相关术语意欲涵盖除了诸图中所描绘的定向以外的装置在使用或操作时的不同定向。设备可另外定向(旋转90度或处于其他定向),且本文中所使用的空间相关描述符可类似地加以相应解释。
在各种实施例,基于一IC布局图的一IC结构包括第一金属层中的一第一金属段,该第一金属段上覆于处于邻近栅极结构之间的一主动区的一部分,例如,包括一源极/漏极(source/drain,S/D)结构的一部分。该第一金属段与该些栅极结构在相同方向上延伸且包括在与包括该主动区部分的一路径(例如,一串联晶体管连接或一背面电源连接)分开的一电路径中。该电路径亦包括一第二金属层的一第二金属段,该第二金属段上覆于该第一金属层且垂直于该第一金属段延伸,且该IC结构包括一自对准接触(self-aligned contact,SAC)通孔结构,该SAC通孔结构将一栅极结构电连接至该第二金属层的一第三金属段。
通过包括与该些栅极结构对准的该第一金属段、垂直的该第二金属段及该第三金属段及该SAC通孔结构,该IC结构能够包括在不包括似金属界定(metal-like defined,MD)段的IC装置(例如,逻辑装置及正反器电路)的电连接中,由此与不包括各种实施例的特征的方法相比成本降低且布线灵活性增大。
如下所述,图1A~图9描绘一些实施例中的平面图及横截面图。图1A~图9中的每一者为结构/布局图,其中参考指定符表示IC结构特征及IC布局特征两者,IC布局特征用于在制造工艺(例如,在下文关于图10论述的方法1000及/或与在下文关于图13论述的IC制造系统1300相关联的IC制造流程)中至少部分地界定对应的IC结构特征。在一些实施例中,图1A~图9中的一或多者为通过执行在下文关于图11论述的方法1100的操作中的一些或全部而产生的IC布局图中的一些或全部。因此,图1A~图9中的每一者表示IC布局图及对应的IC结构两者的平面图或横截面图。
出于说明目的,将本文中的图(例如,图1A~图9)中的每一者简化。该些图为IC布局图、结构及装置的视图,该些视图包括且不包括各种特征以利于下文的论述。在各种实施例中,除了图1A~图9中所描绘的特征外,IC结构、装置及/或布局图包括对应于电力分配结构、金属互连件、触点、通孔、栅极结构、源极/漏极(source/drain,S/D)结构或其他晶体管元件、隔离结构或类似者的一或多个特征。
图1A~图3C为IC布局/结构100A~300C的图,IC布局/结构100A~300C包括在两个栅极区域/结构GS之间且与第一金属层的上覆金属区域/段M0电隔离的主动区域/区AA的部分的一或多个例子。IC布局/结构100A及100B中的每一者亦包括一第二金属层的金属区域/段M1,该些金属区域/段M1经由通孔区域/结构V0电连接至金属区域/段M0且经由栅极通孔区域/结构VG电连接至栅极区域/结构GS。图4~图9为对应于包括IC布局/结构100A~300C的特征的逻辑装置及正反器电路的IC布局/装置400~900的图。
除了IC布局/结构100A~300C或IC布局/装置400~900中的对应者外,图1A~图9中的每一者描绘根据透视图的方向X、方向Y或方向Z中的两者。
主动区域(例如,在下文论述的主动区域/区AA或AA1~AA4)为作为在半导体基板(例如,在下文论述的基板SUB)中直接地或在n井或p井区域/区(出于清楚目的未示出)中界定主动区(亦被称为氧化物扩散或界定(oxide diffusion or definition,OD))的一部分而包括在制造工艺中的IC布局图中的区域,例如S/D结构的一或多个IC装置特征形成于该区域中。在一些实施例中,主动区为平面晶体管结构、鳍式场效晶体管(fin field-effecttransistor,FinFET)结构、全环绕栅极(gate-all-around,GAA)晶体管结构、纳米片结构或纳米线结构的n型或p型主动区。在一些实施例中,主动区(结构)包括半导体材料(例如,例如,硅(Si)、硅-锗(SiGe)、碳化硅(SiC)或类似物)、掺杂剂材料(例如,硼(B)、磷(P)、砷(As)、镓(Ga)或另一合适材料)中的一或多者。
在一些实施例中,纳米片结构包括具有n型或p型掺杂的一或多种半导体材料的一或多个层的连续体积。在各种实施例中,各个纳米片层包括给定半导体材料的单一单层或多个单层。在一些实施例中,主动区(例如,纳米片结构)包括一或多个磊晶层,例如,在下文论述的磊晶层EPI。
在图1A~图9中所描绘的各种实施例中,重叠/上覆的邻近栅极区域/段之间的主动区域/区部分的一些或全部对应于为清楚起见未予以进一步描述的S/D结构。
栅极区域(例如,栅极区域/结构GS或在下文论述的多个复数个栅极区域/结构G1~G14中的栅极区域/结构)为作为界定栅极结构的部分而包括在制造工艺中的IC布局图中的区域。栅极结构为包括一或多个导电段的体积,例如一栅极电极,该一或多个导电段包括实质上由一或多种绝缘材料围绕的一或多种导电材料,例如,多晶硅、铜(Cu)、铝(Al)、钨(W)、钛(Ti)、镍(Ni)、锡(Sn)、钴(Co)、钌(Ru)、银(Ag)或一或多种其他金属或其他合适的材料,该一或多个导电段由此用以控制提供至邻近栅极介电层的电压。
例如栅极介电层的介电层为包括一或多种绝缘材料的体积,该一或多种绝缘材料例如二氧化硅、氮化硅(Si3N4)及/或一或多种其他合适的材料,诸如具有小于3.8的k值的低k材料或具有大于3.8或7.0的k值的高k材料,诸如氧化铝(Al2O3)、氧化铪(HfO2)、五氧化二钽(Ta2O5)或氧化钛(TiO2),该体积适合于在IC结构元件之间提供高电阻,即,高于对应于对电路效能的基于电阻的影响的一或多个容限位准的预定临限值的电阻位准。
多个复数个栅极区域/结构G1~G14根据在一些实施例中亦被称为切断多晶硅区域的切断栅极区域(为清楚起见未描绘)而具有沿着Y方向的组态。切断栅极区域为作为定义给定栅极结构的栅极电极的不连续性的部分而包括在制造工艺中的IC布局图中的区域,由此将栅极电极的对应邻近部分彼此电隔离。
金属区域(例如,金属区域/段M0、M1,或多个复数个金属区域/段01~013中的金属区域/部分,或在下文论述的电力轨道PR或PR1~PR3)为作为在制造工艺的给定金属层中界定包括一或多种导电材料的金属段结构的部分而包括在制造工艺中的IC布局图中的区域,该区域适合于在IC结构元件之间提供低电阻电连接,即,低于对应于对电路效能的基于电阻的影响的一或多个容限位准的预定临限值的电阻位准。
在图1A~图9中所描绘的实施例中,金属区域/段M0及01~013的例子在Y方向上在第一金属层(在一些实施例中亦被称为金属零层)中延伸。金属区域/段M1的例子在X方向上在第二金属层(在一些实施例中亦被称为金属一层)中延伸,且在图9中所描绘的实施例中,金属区域/段M2的例子在Y方向上在第三金属层(在一些实施例中亦被称为金属二层)中延伸。
在图1A~图9中所描绘的实施例中,在Y方向上延伸的金属区域/段M0、01~013及M2对应于与栅极区域/结构GS及G1~G14在相同方向上延伸的金属区域/段M0、01~013及M2,且在(垂直于Y方向的)X方向上延伸的金属区域/段M1对应于与主动区域/区AA及AA1~AA4在相同方向上延伸的金属区域/段M1。在一些实施例中,金属区域/段M0、01~013及M2及栅极区域/结构GS及G1~G14在为第一另外(例如,X)方向的相同方向上延伸,且金属区域/段M1及主动区域/区AA及AA1~AA4在相同的垂直于第一另外方向的第二另外(例如,Y)方向上延伸。
在图1A~图9中所描绘的实施例中,亦被称为背面电力轨道PR及PR1~PR3的电力轨道PR及PR1~PR3中的每一者对应于作为背面制造工艺的部分而形成于半导体基板的背面中的一或多个金属层。在下文论述的各种实施例中,电力轨道PR或PR1~PR3中的给定电力轨道用以载运电源电压VDD或电源参考电压VSS。
通孔区域(例如,在下文论述的通孔区域/结构VD、VG、V0、V1或VB)为作为界定包括一或多种导电材料的通孔结构的部分而包括在制造工艺中的IC布局图中的区域,该通孔结构用以提供上覆导电性结构与下伏导电性结构之间的电连接。在通孔区域/结构VD的情况下,上覆导电性结构对应于金属段M0且下伏导电性结构对应于主动区域/区AA或AA1~AA4。在通孔区域/结构V0的情况下,上覆导电性结构对应于金属段M1且下伏导电性结构对应于金属区域/段M0,且在通孔区域/结构V1的情况下,上覆导电性结构对应于金属段M2且下伏导电性结构对应于金属区域/段M1。
在通孔区域/结构VG的情况下,上覆导电性结构对应于金属段M1且下伏导电性结构对应于栅极区域/结构GS或G1~G14。给定的通孔区域VG由此对应于IC布局图中的SAC区域,该SAC区域至少部分地界定对应的通孔结构VG,该通孔结构VG在Z方向上自栅极结构直接延伸至第二金属层且不包括第一金属层中的金属区域/段M0。在一些实施例中,通孔区域/结构VG亦被称为长通孔。
在通孔区域/结构VB的情况下,上覆导电性结构对应于主动区域/区AA或AA1~AA4且下伏导电性结构对应于电力轨道PR或PR1~PR3。给定的通孔区域VB由此对应于IC布局图中的区域,该区域至少部分地界定对应的通孔结构VB,该通孔结构VB自定位于半导体基板的正面上的主动区穿过半导体基板延伸至背面电力轨道,而通孔区域VD、V0、V1及VG中的每一者对应于IC布局图中的区域,该区域至少部分地界定对应的通孔结构VD、V0、V1或VG,该通孔结构VD、V0、V1或VG在定位于半导体基板的正面上的两个特征之间延伸。
根据一些实施例,图1A及图1B描绘各个IC布局/结构100A及100B的平面图。IC布局/结构100A及100B中的每一者包括:半导体基板SUB中的在X方向上延伸的主动区域/区AA的两个例子、在Y方向上延伸且上覆于主动区域/区AA的每一例子的栅极区域/结构GS的四个例子、在Y方向上延伸的金属区域/段M0的两个例子、在X方向上延伸的金属区域/段M1的两个例子、通孔区域/结构VD及V0中的每一者的两个例子及通孔区域/结构VG。IC布局/结构100B亦包括电力轨道PR的两个例子及通孔区域/结构VB。
在IC布局/结构100A及100B中的每一者中,第一金属区域/段M0上覆于邻近栅极区域/结构GS之间的每一主动区域/区AA,且经由通孔区域/结构VD电连接至邻近栅极区域/结构GS之间的第一主动区域/区AA的一部分且经由通孔V0电连接至上覆的金属区域/段M1。上覆的金属区域/段M1经由通孔区域/结构V0、第二金属区域/段M0及通孔区域/结构VD电连接至远离邻近栅极区域/结构GS延伸的第二主动区域/区AA的一部分。
第一金属区域/段M0及上覆的金属区域/段M1由此组态为邻近栅极区域/结构GS之间的第一主动区域/区AA的该部分与远离邻近栅极区域/结构GS延伸的第二主动区域/区AA的该部分之间的电连接。电路径与邻近栅极区域/结构GS之间的第二主动区域/区AA的一部分电隔离。
在IC布局/结构100A中,邻近栅极区域/结构GS之间的第二主动区域/区AA的该部分对应于两个晶体管的共用S/D端子(未标记),该两个晶体管对应于邻近栅极区域/结构GS重叠/上覆于第二主动区域/区AA所在的位置。该两个晶体管为相同的晶体管类型,n型金属氧化物半导体(n-type metal oxide semiconductor,NMOS)晶体管或p型金属氧化物半导体(p-type metal oxide semiconductor,PMOS)晶体管。
在IC布局/结构100B中,邻近栅极区域/结构GS之间的第二主动区域/区AA的该部分对应于经由通孔区域/结构VB至下伏电力轨道PR的电源连接。
在IC布局/结构100A及100B中的每一者中,第二金属区域/段M1经由通孔区域/结构VG电连接至下伏栅极区域/结构GS。第二金属区域/段M1与第一金属区域/结构M0电隔离,且在图1A及图1B中所描绘的实施例中,上覆于第一金属区域/结构M0。在一些实施例中,第二金属区域/段M1不上覆于第一金属区域/结构M0。
根据一些实施例,图2A及图2B描绘IC布局/结构100A及100B的横截面图。图2A对应于图1A及图1B中的由线A-A’指示的X-Z平面,且图2B对应于图1A及图1B中的由线B-B’指示的Y-Z平面。
图2A描绘IC布局/结构100A及100B中的每一者中的第二金属区域/段M1,该第二金属区域/段M1经由通孔区域/结构VG电连接至下伏栅极区域/结构GS且与下伏第一金属区域/结构M0电隔离。
图2B描绘IC布局/结构100A及100B中的每一者中的第一金属区域/段M0,该第一金属区域/段M 0经由通孔区域/结构VD电连接至邻近栅极区域/结构GS之间的第一主动区域/区AA的该部分且经由通孔V0电连接至上覆的金属区域/段M1且与上覆的第二金属区域/段M1及邻近栅极区域/结构GS之间的第二主动区域/区AA的下伏部分中的每一者电隔离。
在IC布局/结构100A中,对应于共用S/D端子的邻近栅极区域/结构GS之间的第二主动区域/区AA的该部分对应于图2B中所描绘的横截面图,该横截面图不包括各自由虚线表示的通孔区域/结构VB及一些实施例中的电力轨道PR。在IC布局/结构100B中,对应于电源连接的邻近栅极区域/结构GS之间的第二主动区域/区AA的该部分对应于图2B中所描绘的横截面图,该横截面图包括通孔区域/结构VB及电力轨道PR。
在图2A及图2B中所描绘的实施例中,IC布局/结构100A及100B中的每一者包括多个主动区域/区AA,该些主动区域/区AA包括磊晶层EPI。在一些实施例中,IC布局/结构100A或100B中的一者或两者包括多个主动区域/区AA,该些主动区域/区AA不包括磊晶层EPI。
IC布局/结构100A及100B中的每一者由此用以包括金属区域/结构M0及M1,金属区域/结构M0及M1在主动区域/区AA的第一部分的电路径中且与主动区域/区AA的一第二部分及栅极通孔区域/结构VG及对应的金属区域/结构M1电隔离。在各种实施例中,IC布局/结构100A及100B中的一者或两者将例如通过包括上覆于多于一个栅极结构GS的第一金属区域/段M1,使得主动区域/区AA的第一部分之间的电路径与对应特征电隔离而另外组态。
通过包括与栅极区域/结构GS对准的金属区域/段M0、垂直的金属区域/段M1及通孔区域/结构VG,IC布局/结构100A及100B中的每一者能够包括在不包括MD段的IC装置的电连接中,由此与不包括各种实施例的特征的方法相比成本降低且布线灵活性增大。
根据一些实施例,图3A~图3C描绘各个IC布局/结构300A~300C的平面图。IC布局/结构300A~300C中的每一者包括在栅极区域/结构GS的例子之间的在Y方向上延伸的金属区域/段M0。
IC布局/结构300A亦包括主动区域/区AA的两个例子及通孔区域/结构VD。金属区域/段M0及通孔区域/结构VD由此组态为至与重叠/下伏金属区域/段M0的第二主动区域/区AA的部分分开的第一主动区域/区AA的部分的电路径。
IC布局/结构300B亦包括主动区域/区AA的四个例子及通孔区域/结构VD的两个例子。金属区域/段M0及通孔区域/结构VD的两个例子由此组态为与重叠/下伏金属区域/段M0的主动区域/区AA的两个例子的第二部分分开的主动区域/区AA的两个例子的第一部分之间的电路径。
IC布局/结构300C亦至少包括主动区域/区AA的六个例子及通孔区域/结构VD的两个例子。金属区域/段M0及通孔区域/结构VD的两个例子由此组态为与重叠/下伏金属区域/段M0的主动区域/区AA的至少四个例子的第二部分分开的主动区域/区AA的两个例子的第一部分之间的电路径。
通过包括包括与主动区域/区AA的该或该些下伏例子分开的对应金属区域/段M0的电路径,包括IC布局/结构300A~300C中的一者的电路由此能够减少在电路径中使用MD段,因此获得在上文关于IC布局/结构100A及100B论述的益处。
根据一些实施例,图4~图9描绘各个IC布局/装置400~900的平面图。如下文所论述,IC布局/装置400~900中的每一者组态为包括根据在上文关于图1A~图3C论述的实施例中的一或多个的金属区域/段M0或多个复数个金属区域/段01~013的例子的逻辑装置或扫描D型正反器电路。
IC布局/装置400~900中的每一者包括在X方向上延伸的主动区域/区AA1~AA4、在Y方向上延伸且重叠/上覆于主动区域/区AA1~AA4的金属区域/段M0或多个复数个金属区域/段01~013或01~012、在Y方向上延伸且重叠/上覆于主动区域/区AA1~AA4的栅极区域/结构GS或多个复数个栅极区域/结构G1~G14或G1~G13中的一些或全部,及背面电力轨道PR1~PR3中的一些或全部。
主动区域/区AA1及AA4中的每一者为对应于PMOS晶体管的p型主动区域/区,且主动区域/区AA2及AA3中的每一者为对应于NMOS晶体管的n型主动区域/区。背面电力轨道PR1及PR3中的每一者用以载运电源电压VDD,且背面电力轨道PR2用以载运电源参考电压VSS。
多个复数个栅极区域/结构G1~G14中的每一复数个栅极区域/结构包括在Y方向上对准且根据为清楚起见而未描绘的切断栅极区域电分开的一个至三个栅极区域/结构(未单独标记)。多个复数个金属区域/段01~013中的每一复数个金属区域/段包括在Y方向上对准且根据为清楚起见而未描绘的切断金属区域电分开的一个至四个金属区域/段M0(未单独标记)。
IC布局/装置400~900中的每一者亦包括通孔区域/结构VD、VG、V0及VB及第二金属层中的在X方向上延伸的金属区域/段M1中的每一者的例子。IC布局/装置900亦包括第三金属层中的在Y方向上延伸的金属区域/段M2的例子,及通孔区域/结构V1的例子。在图8及图9中的每一者中,为清楚起见,标记出通孔区域/结构VD、VG、V0、V1(若存在)及VB及金属区域/段M1及M2(若存在)中的每一者的单个元件。
如图4中所描绘,IC布局/装置400的参考特征经组态为包括配置为用以接收信号A1及A2的输入端子的金属区域/段M1的例子的与非门。与非门400用以基于信号A1及A2产生信号ZN且包括配置为用以输出信号ZN的输出端子的金属区域/段M1的例子。
如图5中所描绘,IC布局/装置500的参考特征经组态为包括配置为用以接收信号A1及A2的输入端子的金属区域/段M1的例子的或非门。或非门500用以基于信号A1及A2产生信号ZN且包括配置为用以输出信号ZN的输出端子的金属区域/段M1的例子。
如图6中所描绘,IC布局/装置600的参考特征经组态为包括配置为用以接收信号A1、A2、B1及B2的输入端子的金属区域/段M1的例子的与或非(and-or-invert,AOI)逻辑装置。AOI装置600用以基于信号A1、A2、B1及B2产生信号ZN且包括配置为用以输出信号ZN的输出端子的金属区域/段M1的例子。
如图7中所描绘,IC布局/装置700的参考特征经组态为包括配置为用以接收信号A1、A2、B1及B2的输入端子的金属区域/段M1的例子的或与非(or-and-invert,OAI)逻辑装置。OAI装置700用以基于信号A1、A2、B1及B2产生信号ZN且包括配置为用以输出信号ZN的输出端子的金属区域/段M1的例子。
如图4~图7中所描绘,IC布局/装置400~700中的每一者包括配置为输出端子的金属区域/段M1的例子,该输出端子电连接至对应特征且与对应于包括在对应逻辑装置中的两个晶体管的共用S/D端子(未标记)的主动区域/区AA1或AA2的重叠/上覆部分电隔离。
如图8及图9中所描绘,IC布局/装置800及900中的每一者的参考特征经组态为扫描D型正反器电路,该扫描D型正反器电路包括配置为用以信号D(在一些实施例中亦被称为数据信号)、信号SI(在一些实施例中亦被称为扫描测试信号)、信号SE(在一些实施例中亦被称为启用信号)及信号CP(在一些实施例中亦被称为时脉信号)的输入端子的金属区域/段M1的例子。如图8及图9中所描绘,IC布局/装置800及900中的每一者用以基于信号D、SI、SE及CP产生信号Q(在一些实施例中亦被称为输出信号)且包括配置为用以输出信号Q的输出端子的金属区域/段M1的例子。
如图8中所描绘,IC布局/装置800包括多个复数个金属区域/段01、02、04~06、08及010~012中的每一者中的金属区域/段M0,该金属区域/段M0组态为如上文所论述的分离电路径(在一些实施例中亦被称为飞行连接)。
如图9中所描绘,IC布局/装置900包括多个复数个金属区域/段03、05及011中的每一者中的金属区域/段M0,该金属区域/段M0组态为如上文所论述的分离电路径。
IC布局/装置800由此包括总共14组复数个栅极区域/结构G1~G14及对应于26的总栅极间距(在一些实施例中亦被称为多间距)的总共四个主动区域/区AA1~AA4,且不包括第三金属层中的在Y方向上延伸的金属区域/段M2的例子。
IC布局/装置900由此包括总共13组复数个栅极区域/结构G1~G13及对应于24的总栅极间距的总共四个主动区域/区AA1~AA4,且包括第三金属层中的在Y方向上延伸的金属区域/段M2的四个例子。与IC布局/装置800相比,IC布局/装置900基于包括第三金属层中的金属区域/段M2的例子而具有较小面积及潜在的较高成本。
通过上文所论述的组态,IC布局/装置400~900中的每一者包括电路径,该些电路径包括与主动区域/区AA1~AA4中的对应下伏主动区域/区分开的对应金属区域/段M0或多个复数个金属区域/段01~013,且由此能够减少例如MD段的金属段在电路径中的使用,因此获得在上文关于IC布局/结构100A~300C论述的益处。
根据一些实施例,图10为制造IC结构的方法1000的流程图。方法1000可操作以形成在上文关于图1A~图9论述的IC结构100A~300C或IC装置400~900中的一或多个。
在一些实施例中,方法1000的操作是按图10中所描绘的次序执行。在一些实施例中,方法1000的操作是按除图10中所描绘的次序之外的次序执行及/或方法1000中的两个或更多个操作是同时执行。在一些实施例中,一或多个额外操作是在方法1000的操作之前、期间及/或之后执行。在一些实施例中,执行方法1000的操作的一些或全部包括执行如在下文关于IC制造系统1300及图13论述的一或多个操作。
在操作1010处,在一些实施例中,形成在半导体基板中的在一第一方向上延伸的第一主动区及第二主动区。在一些实施例中,形成该第一主动区及该第二主动区包括形成在上文关于图1A~图3C论述的主动区AA的两个或更多个例子。在一些实施例中,形成该第一主动区及该第二主动区包括形成在上文关于图4~图9论述的主动区AA1~AA4中的两个或更多个。
在一些实施例中,形成该第一主动区及该第二主动区包括在对应于该第一主动区及该第二主动区的半导体基板的区中执行一或多个植入工艺,因此针对如上文所论述的一或多种给定掺杂剂达成预订的掺杂浓度及类型。
在一些实施例中,形成该第一主动区及该第二主动区包括例如通过执行一或多个植入工艺及/或一或多个沉积工艺而在对应主动区的该些主动区的一些或全部中及/或上形成多个S/D结构。
在操作1020处,在一些实施例中,建构第一栅极结构及第二栅极结构,该第一栅极结构及该第二栅极结构在一第二方向上延伸且上覆于该第一主动区及该第二主动区中的每一者。在一些实施例中,建构该第一栅极结构及该第二栅极结构包括建构在上文关于图1A~图7论述的栅极结构GS的两个或更多个例子。在一些实施例中,建构该第一栅极结构及该第二栅极结构包括建构在上文关于图8及图9论述的多个复数个栅极结构G1~G14的一些或全部。
在一些实施例中,建构该第一栅极结构及该第二栅极结构包括执行多个制造操作,例如,微影术、扩散、沉积、蚀刻、平坦化或适合于如上文所论述的建构该第一栅极结构及该第二栅极结构的其他操作中的一或多个。
在操作1030处,在处于该第一栅极结构与该第二栅极结构之间的该第一主动区的一部分上且在远离该第一栅极结构及该第二栅极结构延伸的该第二主动区的一部分上形成多个S/D通孔结构。在一些实施例中,形成该些S/D通孔结构包括在如在上文关于图1A~图9论述的主动区AA或AA1~AA4的对应部分上形成通孔结构VD的两个或更多个例子。
在一些实施例中,形成该些S/D通孔结构包括直接在该些主动区的该些对应部分上形成该些S/D通孔结构。
在一些实施例中,形成通孔结构、金属段或背面电力轨道包括执行多个制造操作,包括沉积且图案化一或多个光阻剂层、执行一或多个蚀刻工艺及执行一或多个沉积工艺,因此一或多种导电材料用以形成连续的低电阻结构。
在操作1040处,建构该第一主动区及该第二主动区的该些对应部分之间的一电连接。该电连接与处于该第一栅极结构与该第二栅极结构之间的该第二主动区的一部分电隔离。
建构该电连接包括:形成一第一金属段,该第一金属段在一第一金属层中在该第二方向上延伸且上覆于该第一S/D通孔结构及该第二主动区中的每一者;形成一第二金属段,该第二金属段在该第一金属层中、上覆于该第二S/D通孔结构;形成第一通孔结构及第二通孔结构,该第一通孔结构及该第二通孔结构上覆于相应的该第一金属段及该第二金属段;及形成一第三金属段,该第三金属段在一第二金属层中在该第一方向上延伸且上覆于该第一通孔结构及该第二通孔结构中的每一者,由此将该第一主动区的该部分电连接至该第二主动区的该部分。形成上覆于该第二主动区的该第一金属段包括形成与处于该第一栅极结构与该第二栅极结构之间的该第二主动区的该部分电隔离的该第一金属段。
在各种实施例中,处于该第一栅极结构与该第二栅极结构之间的该第二主动区的该部分包括一晶体管系列的一共用S/D端子或至一电源的一电连接。
在一些实施例中,建构该电路径包括根据在上文关于图1A~图9论述的各种实施例形成对应的金属段及通孔结构。
在一些实施例中,形成上覆于该第一主动区及该第二主动区中的每一者的该第一金属段包括形成上覆于如在上文关于图3A~图3C论述的主动区AA的多于两个例子的该第一金属段。
在一些实施例中,建构该电路径包括执行如上文所论述的适合于形成低电阻路径的一或多个图案化、光阻剂、蚀刻或沉积工艺或其他工艺。
在操作1050处,形成一SAC通孔结构,该SAC通孔结构上覆于该第一栅极结构或该第二栅极结构中的一者。在一些实施例中,形成该SAC通孔结构包括形成在该第二金属层中的电连接至该SAC通孔结构的一金属段。在一些实施例中,形成该SAC通孔结构包括形成如在上文关于图1A~图9论述的通孔结构VG。
在操作1060处,在一些实施例中,形成额外主动区、额外栅极结构、额外金属段、通孔结构或背面电力轨道中的一或多个。在一些实施例中,形成额外主动区、额外栅极结构、额外金属段、通孔结构或背面电力轨道中的该一或多个包括在执行操作1010~1050中的对应一或多个操作的同时形成该一或多个额外特征的一些或全部,例如,在操作1010中的形成主动区AA1及AA2的同时形成主动区AA3及AA4。
在一些实施例中,形成一或多个通孔结构或背面电力轨道包括:形成一通孔结构,该通孔结构自处于该第一栅极结构与该第二栅极结构之间的该第一主动区的该部分延伸至该半导体基板的一背面;及建构一电力轨道,该电力轨道在该半导体基板的该背面中、电连接至该通孔结构。在一些实施例中,形成一或多个通孔结构或背面电力轨道包括形成如在上文关于图1B~图9论述的一或多个通孔结构VB及一或多个电力轨道PR或PR1~PR3。
在一些实施例中,形成额外主动区、额外栅极结构、额外金属段、通孔结构或背面电力轨道中的该一或多个包括形成一逻辑装置或电路,例如,在上文关于图4~图9论述的IC装置400~900中的一者。
在一些实施例中,形成额外主动区、额外栅极结构、额外金属段、通孔结构或背面电力轨道中的该一或多个是通过执行多个制造操作来建造例如晶体管、逻辑门、记忆体单元、互连结构及/或其他合适装置的多个IC装置的一部分,该些制造操作例如微影术、扩散、沉积、蚀刻、平坦化或适合于在半导体基板中建造该些IC装置的其他操作中的一或多个。
通过执行方法1000的操作的一些或全部,制造出一IC结构,其中一电路径包括一第一金属层中的一金属段,该金属段与栅极结构对准且与一晶体管系列的一共用S/D结构或一电力轨道连接电隔离,由此获得在上文关于IC结构100A~300C及IC装置400~900论述的益处。
根据一些实施例,图11为产生IC布局图(例如,在上文关于图1A~图9论述的IC布局图100A~900)的方法1100的流程图。
在一些实施例中,产生IC布局图包括产生对应于基于所产生的IC布局图制造的IC结构或装置(例如,在上文关于图1A~图9论述的IC结构100A~300C或装置400~900)的IC布局图。
在一些实施例中,方法1100的一些或全部是由计算机的处理器(例如,在下文关于图12论述的IC布局图产生系统1200的处理器1202)执行。
方法1100的操作的一些或全部能够作为在设计室(例如,在下文关于图13论述的设计室1320)中执行的设计程序的一部分来执行。
在一些实施例中,方法1100的操作是按图11中所描绘的次序执行。在一些实施例中,方法1100的操作是同时执行及/或按除图11中所描绘的次序之外的次序执行。在一些实施例中,一或多个操作是在方法1100的一或多个操作之前、之间、期间及/或之后执行。
在操作1110处,在一IC布局图中定位在一第一方向上延伸的第一主动区域及第二主动区域。在一些实施例中,定位该第一主动区域及该第二主动区域包括定位在上文关于图1A~图3C论述的主动区域AA的两个或更多个例子。在一些实施例中,定位该第一主动区域及该第二主动区域包括定位在上文关于图4~图9论述的主动区域AA1~AA4中的两个或更多个。
在操作1120处,使该第一主动区域及该第二主动区域中的每一者与在一第二方向上延伸的第一栅极区域及第二栅极区域重叠。在一些实施例中,使该第一主动区域及该第二主动区域与第一栅极区域及第二栅极区域重叠包括使主动区域AA与在上文关于图1A~图3C论述的栅极区域GS的两个或更多个例子重叠。在一些实施例中,使该第一主动区域及该第二主动区域与第一栅极区域及第二栅极区域重叠包括使主动区域AA1~AA4中的两个或更多个与在上文关于图4~图9论述的多个复数个栅极结构G1~G14重叠。
在操作1130处,使处于该第一栅极区域与该第二栅极区域之间的该第一主动区域的一部分及远离该第一栅极区域及该第二栅极区域延伸的该第二主动区域的一部分中的每一者与一S/D通孔区域重叠。在一些实施例中,使处于该第一栅极区域与该第二栅极区域之间的该第一主动区域的该部分及远离该第一栅极区域及该第二栅极区域延伸的该第二主动区域的该部分中的每一者与该S/D通孔区域重叠包括使通孔区域VD的两个或更多个例子与如在上文关于图1A~图9论述的主动区域AA或AA1~AA4的对应部分重叠。
在一些实施例中,使该些主动区域与该些S/D通孔区域重叠不包括使该些S/D通孔区域与MD区域重叠。
在操作1140处,配置该第一主动区域及该第二主动区域的对应部分之间的一电连接。配置该电连接包括:定位一第一金属区域,该第一金属区域在一第一金属层中在该第二方向上延伸且与该第一S/D通孔结构及该第二主动区域中的每一者重叠;定位一第二金属区域,该第二金属区域在该第一金属层中、与该第二S/D通孔区域重叠;定位第一通孔区域及第二通孔区域,该第一通孔区域及该第二通孔区域与相应的该第一金属区域及该第二金属区域重叠;及定位一第三金属区域,该第三金属区域在一第二金属层中在该第一方向上延伸且与该第一通孔区域及该第二通孔区域中的每一者重叠,由此将该第一主动区域的该部分电连接至该第二主动区域的该部分。定位与该第二主动区域重叠的该第一金属区域包括定位与处于该第一栅极区域与该第二栅极区域之间的该第二主动区域的该部分电隔离的该第一金属区域。
在各种实施例中,处于该第一栅极结构与该第二栅极结构之间的该第二主动区域的该部分包括一晶体管系列的一共用S/D端子或至一电源的一电连接。
在一些实施例中,配置该电路径包括根据在上文关于图1A~图9论述的各种实施例配置对应的金属区域及通孔区域。
在一些实施例中,配置该电连接包括定位与如在上文关于图3A~图3C论述的主动区域AA的多于两个例子重叠的该第一金属区域。
在操作1150处,定位一SAC通孔区域,该SAC通孔区域与该第一栅极结构或该第二栅极结构中的一者重叠。在一些实施例中,定位该SAC通孔区域包括定位在该第二金属层中的电连接至该SAC通孔区域的一金属区域。在一些实施例中,定位该SAC通孔区域包括定位如在上文关于图1A~图9论述的通孔区域VG。
在操作1160处,在一些实施例中,配置额外主动区域、额外栅极区域、额外金属区域、通孔区域或电力轨道中的一或多个。
在一些实施例中,配置一或多个额外的通孔区域或电力轨道包括:定位一通孔区域,该通孔区域自处于该第一栅极区域与该第二栅极区域之间的该第一主动区域的该部分延伸至该半导体基板的一背面;及定位一电力轨道,该电力轨道在该半导体基板的该背面中、电连接至该通孔区域。在一些实施例中,定位一或多个通孔区域或背面电力轨道包括定位如在上文关于图1A~图9论述的一或多个通孔区域VB及一或多个电力轨道PR或PR1~PR3。
在一些实施例中,配置额外主动区域、额外栅极区域、额外金属区域、通孔区域或电力轨道中的一或多个包括形成一逻辑装置或电路,例如,在上文关于图4~图9论述的IC装置400~900中的一者。
在操作1170处,在一些实施例中,将该IC布局图储存在一储存装置中。在各种实施例中,将该IC布局图储存在该储存装置中包括将该IC布局图储存在一非挥发性的计算机可读记忆体或一单元库(例如,数据库)中及/或包括经由一网络储存该IC布局图。在一些实施例中,将该IC布局图储存在该储存装置中包括将该IC布局图储存在布局库1207中或经由在下文关于图12论述的IC布局图产生系统1200的网络1214储存该IC布局图。
在操作1180处,在一些实施例中,基于该IC布局图来执行一或多个制造操作。在一些实施例中,执行一或多个制造操作包括基于该IC布局图来执行一或多次微影曝光。基于该IC布局图来执行一或多个制造操作(例如,一或多次微影曝光)是在上文关于图10且在下文关于图13进行论述。
通过执行方法1100的操作的一些或全部,产生出对应于一IC结构的一IC布局图,在该IC结构中,一电路径包括一第一金属层中的一金属段,该金属段与栅极结构对准且与一晶体管系列的一共用S/D结构或一电力轨道连接电隔离,由此获得在上文关于IC结构100A~300C及IC装置400~900论述的益处。
根据一些实施例,图12为IC布局图产生系统1200的方块图。根据一些实施例,本文中描述的设计根据一或多个实施例的IC布局图的方法可例如使用IC布局图产生系统1200来实施。
在一些实施例中,IC布局图产生系统1200是包括硬件处理器1202及非暂时性计算机可读储存媒体1204的通用计算装置。储存媒体1204尤其经编码具有(即,储存)计算机程序码1206,即一组可执行指令。指令1206由硬件处理器1202的执行(至少部分地)表示实施例如在上文关于图11描述的产生IC布局图的方法1100的方法(在下文中,提出的工艺及/或方法)的一部分或全部的EDA工具。
处理器1202经由总线1208电耦接至计算机可读储存媒体1204。处理器1202亦通过总线1208电耦接至I/O接口1210。网络接口1212亦经由总线1208电连接至处理器1202。网络接口1212连接至网络1214,因此处理器1202及计算机可读储存媒体1204能够经由网络1214连接至外部元件。处理器1202用以执行编码在计算机可读储存媒体1204中的计算机程序码1206,以便使IC布局图产生系统1200可用于执行提出的工艺及/或方法的一部分或全部。在一或多个实施例中,处理器1202是中央处理单元(central processing unit;CPU)、多处理器、分散式处理系统、特殊应用集成电路(application specific integrated circuit;ASIC)及/或合适的处理单元。
在一或多个实施例中,计算机可读储存媒体1204是电子、磁性、光学、电磁、红外线及/或半导体系统(或设备或装置)。举例而言,计算机可读储存媒体1204包括半导体或固态记忆体、磁带、可移式计算机磁盘、随机存取记忆体(random access memory;RAM)、只读记忆体(read-only memory;ROM)、硬质磁盘及/或光盘。在使用光盘的一或多个实施例中,计算机可读储存媒体1204包括光盘只读记忆体(compact disk-read only memory;CD-ROM)、可读写光盘(compact disk-read/write;CD-R/W)及/或数字视频光盘(digital videodisc;DVD)。
在一或多个实施例中,计算机可读储存媒体1204储存计算机程序码1206,计算机程序码1206用以使IC布局图产生系统1200(在此执行(至少部分地)表示EDA工具的情况下)可用于执行提出的工艺及/或方法的一部分或全部。在一或多个实施例中,计算机可读储存媒体1204亦储存利于执行提出的工艺及/或方法的一部分或全部的信息。在一或多个实施例中,计算机可读储存媒体1204储存IC布局的布局库1207,该些IC布局包括如本文中揭示的此等布局,例如,在上文关于图1A~图9论述的IC布局100A~900。
IC布局图产生系统1200包括I/O接口1210。I/O接口1210耦接至外部电路。在一或多个实施例中,I/O接口1210包括用于将信息及命令传达至处理器1202的键盘、小键盘、鼠标、轨迹球、触控板、触控屏幕及/或标方向键。
IC布局图产生系统1200亦包括耦接至处理器1202的网络接口1212。网络接口1212允许系统1200与网络1214通信,一或多个其他计算机系统连接至网络1214。网络接口1212包括:无线网络接口,诸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,诸如ETHERNET、USB或IEEE-1364。在一或多个实施例中,提出的工艺及/或方法的一部分或全部是在两个或更多个IC布局图产生系统1200中实施。
IC布局图产生系统1200用以经由I/O接口1210接收信息。经由I/O接口1210接收的信息包括由处理器1202进行处理的指令、数据、设计规则、标准单元的库及/或其他参数中的一或多者。信息是经由总线1208传送至处理器1202。IC布局图产生系统1200用以经由I/O接口1210接收与UI有关的信息。信息储存在计算机可读媒体1204中以作为使用者界面(user interface,UI)1242。
在一些实施例中,提出的工艺及/或方法的一部分或全部是实施为由处理器执行的独立软件应用程序。在一些实施例中,提出的工艺及/或方法的一部分或全部是实施为作为额外软件应用程序的一部分的软件应用程序。在一些实施例中,提出的工艺及/或方法的一部分或全部是实施为软件应用程序的外挂程序。在一些实施例中,提出的工艺及/或方法的至少一者是实施为作为EDA工具的一部分的软件应用程序。在一些实施例中,提出的工艺及/或方法的一部分或全部是实施为由IC布局图产生系统1200使用的软件应用程序。在一些实施例中,包括标准单元的布局图是使用一工具(诸如,可自CADENCE DESIGN SYSTEMS,Inc.获得的)或另一合适的布局产生工具产生。/>
在一些实施例中,工艺是实现为储存于非暂时性计算机可读记录媒体中的程序的功能。非暂时性计算机可读记录媒体的实例包括(但不限于)外部/可移式及/或内部/内建的储存或记忆体单元,例如以下各者中的一或多者:光盘,诸如DVD;磁盘,诸如硬盘;半导体记忆体,诸如ROM、RAM、记忆卡;及类似物。
根据一些实施例,图13为IC制造系统1300的方块图,及与IC制造系统1300相关联的IC制造流程。在一些实施例中,基于IC布局图,(A)一或多个半导体遮罩或(B)一半导体集成电路的一层中的至少一个组件中的至少一者是使用制造系统1300制造。
在图13中,IC制造系统1300包括诸如设计室1320、遮罩室1330及IC制造商/制造者(“晶圆厂”)1350的实体,该些实体在与制造IC装置1360有关的设计、开发及制造循环及/或服务中彼此相互作用。系统1300中的实体由通信网络连接。在一些实施例中,通信网络是单一网络。在一些实施例中,通信网络是多种不同的网络,诸如内部网络及网际网络。通信网络包括有线及/或无线的通信通道。每一实体与其他实体中的一或多者相互作用,且为其他实体中的一或多者提供服务及/或自其他实体中的一或多者接收服务。在一些实施例中,设计室1320、遮罩室1330及IC晶圆厂1350中的两个或更多个归单个的较大公司所有。在一些实施例中,设计室1320、遮罩室1330及IC晶圆厂1350中的两个或更多个共存于共用设施中且使用共用资源。
设计室(或设计团队)1320产生IC设计布局图1322。IC设计布局图1322包括各种几何图案,例如,在上文关于图1A~图9论述的布局100A~900。该些几何图案对应于构成待制造的IC装置1360的各种组件的金属层、氧化物层或半导体层的图案。各种层组合以形成各种IC特征。举例而言,IC设计布局图1322的一部分包括将在半导体基板(诸如硅晶圆)及安置于半导体基板上的各种材料层中形成的各种IC特征,诸如主动区域、栅极电极、源极与漏极、层间互连的金属线或通孔及用于接合垫的开口。设计室1320实施恰当的设计程序以形成IC设计布局图1322。设计程序包括逻辑设计、实体设计或置放选路中的一或多者。IC设计布局图1322存在于具有关于几何图案的信息的一或多个数据文件中。举例而言,IC设计布局图1322可以用GDSII文件格式或DFII文件格式表示。
遮罩室1330包括数据准备1332及遮罩制造1344。遮罩室1330使用IC设计布局图1322来制造一或多个遮罩1345,该一或多个遮罩1345将用于根据IC设计布局图1322制造IC装置1360的各种层。遮罩室1330执行遮罩数据准备1332,其中IC设计布局图1322经转译成代表性数据文件(representative data file,RDF)。遮罩数据准备1332将RDF提供至遮罩制造1344。遮罩制造1344包括遮罩写入器。遮罩写入器将RDF转换成基板上的影像,诸如遮罩(光刻罩)1345或半导体晶圆1353。设计布局图1322是由遮罩数据准备1332操纵以遵守遮罩写入器的特定特性及/或IC晶圆厂1350的要求。在图13中,遮罩数据准备1332及遮罩制造1344是说明为独立的元件。在一些实施例中,遮罩数据准备1332及遮罩制造1344可以一起被称为遮罩数据准备。
在一些实施例中,遮罩数据准备1332包括光学近接修正(optical proximitycorrection,OPC),光学近接修正使用微影增强技术以补偿影像误差,诸如可以由绕射、干涉、其他处理效应及类似者引起的影像误差。OPC调整IC设计布局图1322。在一些实施例中,遮罩数据准备1332包括其他解析度增强技术(resolution enhancement technique,RET),诸如离轴照明、次解析度辅助特征、相移遮罩、其他合适的技术及类似技术或该些技术的组合。在一些实施例中,亦使用逆微影技术(inverse lithography technology,ILT),逆微影技术将OPC视为逆成像问题。
在一些实施例中,遮罩数据准备1332包括遮罩规则检验器(mask rule checker,MRC),遮罩规则检验器利用一组遮罩创造规则来检查已经历OPC中的工艺的IC设计布局图1322,该组遮罩创造规则含有特定的几何及/或连接限制以确保足够裕量,以解释半导体制造工艺中的可变性及类似者。在一些实施例中,MRC修改IC设计布局图1322以补偿遮罩制造1344期间的限制,如此可撤销由OPC执行的修改的部分,以便满足遮罩创造规则。
在一些实施例中,遮罩数据准备1332包括微影工艺检查(lithography processchecking,LPC),微影工艺检查模拟将由IC晶圆厂1350实施以制造IC装置1360的处理。LPC基于IC设计布局图1322来模拟此处理以创造模拟制造的装置,诸如IC装置1360。LPC模拟中的处理参数可以包括与IC制造循环的各种工艺相关联的参数、与用于制造IC的工具相关联的参数及/或制造工艺的其他态样。LPC考虑各种因素,诸如空中影像对比度、焦点深度(“depth of focus,DOF”)、遮罩误差增强因子(“mask error enhancement factor,MEEF”)、其他合适的因素及类似者或前述因素的组合。在一些实施例中,在模拟制造的装置已由LPC创造之后,若模拟的装置在形状上不足够接近以满足设计规则,则应重复OPC及/或MRC以进一步改良IC设计布局图1322。
应理解,为清楚起见,遮罩数据准备1332的以上描述已经简化。在一些实施例中,数据准备1332包括额外特征,诸如用于根据制造规则修改IC设计布局图1322的逻辑运算(logic operation,LOP)。另外,在数据准备1332期间应用于IC设计布局图1322的工艺可按多种不同的次序执行。
在遮罩数据准备1332之后且在遮罩制造1344期间,基于经修改的IC设计布局图1322来制造一遮罩1345或一组遮罩1345。在一些实施例中,遮罩制造1344包括基于IC设计布局图1322来执行一或多次微影曝光。在一些实施例中,使用一电子束(e射束)或多个e射束的机制以基于经修改的IC设计布局图1322在遮罩(光罩或光刻罩)1345上形成图案。遮罩1345可以用各种技术形成。在一些实施例中,遮罩1345是使用二元技术(binarytechnology)形成。在一些实施例中,遮罩图案包括不透明区域及透明区域。用于使已涂布在晶圆上的影像敏感材料层(例如,光阻剂)曝光的辐射束被不透明区域阻断且透射穿过透明区域,该辐射束诸如紫外线(ultraviolet,UV)或EUV射束。在一个实例中,遮罩1345的二元遮罩版本包括透明基板(例如,熔融石英)及涂布在二元遮罩的不透明区域中的不透明材料(例如,铬)。在另一实例中,遮罩1345是使用相移技术形成。在遮罩1345的相移遮罩(phase shift mask,PSM)版本中,形成于相移遮罩上的图案中的各种特征用以具有恰当的相位差以增强解析度及成像品质。在各种实例中,相移遮罩可为衰减式PSM或交替式PSM。通过遮罩制造1344产生的遮罩将在多种工艺中使用。举例而言,此(此等)遮罩将在用于在半导体晶圆1353中形成各种掺杂区域的离子植入工艺中、在用于在半导体晶圆1353中形成各种蚀刻区域的蚀刻工艺中及/或在其他合适的工艺中使用。
IC晶圆厂1350是IC制造企业,该IC制造企业包括用于制造多种不同IC产品的一或多个制造设施。在一些实施例中,IC晶圆厂1350是半导体铸造厂。举例而言,可能存在用于多个IC产品的前端制造(前端工序(front-end-of-line,FEOL)制造)的制造设施,而第二制造设施可提供用于IC产品的互连及封装的后端制造(后端工序(back-end-of-line,BEOL)制造),且第三制造设施可为铸造厂企业提供其他服务。
IC晶圆厂1350包括晶圆制造工具1352,晶圆制造工具1352用以对半导体晶圆1353执行各种制造操作,使得IC装置1360是根据例如遮罩1345的遮罩制造。在各种实施例中,制造工具1352包括以下各者中的一或多个:晶圆步进机、离子植入器、光阻剂涂布机、处理腔室(例如,CVD腔室或LPCVD炉)、CMP系统、电浆蚀刻系统、晶圆清洗系统或能够执行如本文中论述的一或多个合适的制造工艺的其他制造设备。
IC晶圆厂1350使用由遮罩室1330制造的遮罩1345以制造IC装置1360。因此,IC晶圆厂1350至少间接地使用IC设计布局图1322以制造IC装置1360。在一些实施例中,半导体晶圆1353是由IC晶圆厂1350使用遮罩1345制造以形成IC装置1360。在一些实施例中,IC制造包括至少间接地基于IC设计布局图1322来执行一或多次微影曝光。半导体晶圆1353包括硅基板或其他恰当的基板,该基板上形成有多个材料层。半导体晶圆1353进一步包括以下各者中的一或多个:各种掺杂区域;介电特征;多位准互连;及类似物(在后续制造步骤形成)。
在一些实施例中,一种IC结构包括:第一主动区及第二主动区,该第一主动区及该第二主动区在一半导体基板中在一第一方向上延伸;第一栅极结构及第二栅极结构,该第一栅极结构及该第二栅极结构在垂直于该第一方向的一第二方向上延伸,其中该第一栅极结构及该第二栅极结构中的每一者上覆于该第一主动区及该第二主动区中的每一者;一第一金属段,该第一金属段在一第一金属层中在该第二方向上延伸,其中该第一金属段上覆于处于该第一栅极结构与该第二栅极结构之间的该第一主动区及该第二主动区中的每一者;第二金属段及第三金属段,该第二金属段及该第三金属段在一第二金属层中在该第一方向上延伸,其中该第二金属段上覆于该第一主动区及该第一金属段中的每一者且电连接至该第一金属段;及一栅极通孔结构,该栅极通孔结构自该第三金属段延伸至该第一栅极结构或该第二栅极结构中的一者。该第一金属段及该第二金属段电连接至该第二主动区,与处于该第一栅极结构与该第二栅极结构之间的该第一主动区的一第一部分电隔离,且电连接至延伸超出该第一栅极结构及该第二栅极结构的该第一主动区的一第二部分。
在一些实施例中,第一金属段经由与第二主动区直接接触的一源极/漏极通孔结构电连接至第二主动区。
在一些实施例中,第二金属段经由一第一金属层通孔结构、第一金属层中的一第四金属段及与第一主动区的第二部分直接接触的一源极/漏极通孔结构电连接至第一主动区的第二部分。
在一些实施例中,第一栅极结构及第一主动区经组态为一第一晶体管,第二栅极结构及第一主动区经组态为一第二晶体管,且第一主动区的第一部分包含第一晶体管及第二晶体管的一共用源极/漏极端子。
在一些实施例中,集成电路结构进一步包含:一电力轨道,电力轨道定位于半导体基板的一背面中;及一通孔结构,通孔结构用以将电力轨道电连接至第一主动区的第一部分。
在一些实施例中,第一金属段电连接至处于第一栅极结构与第二栅极结构之间的第二主动区的一部分。
在一些实施例中,集成电路结构进一步包含第四金属段,第四金属段在第二金属层中在第一方向上延伸,其中第四金属段上覆于第二主动区的部分及第一金属段中的每一者且与第二主动区的部分及第一金属段中的每一者电隔离。
在一些实施例中,集成电路结构进一步包含第三主动区,第三主动区在第一主动区与第二主动区之间延伸,其中第一金属段及第二金属段与处于第一栅极结构与第二栅极结构之间的第三主动区的一第三部分电隔离。
在一些实施例中,第三金属段上覆于第一金属段。
在一些实施例中,一种IC装置包括:多个主动区,该些主动区在一半导体基板中在一第一方向上延伸;多个栅极结构,该些栅极结构在垂直于该第一方向的一第二方向上延伸,其中该些栅极结构上覆于该些主动区;第一复数个金属段,该第一复数个金属段在一第一金属层中在该第二方向上延伸,其中该第一复数个金属段中的一第一金属段上覆于该些主动区的第一主动区及第二主动区;第二复数个金属段,该第二复数个金属段在一第二金属层中在该第一方向上延伸,其中该第二复数个金属段中的一第一金属段上覆于该第一复数个金属段中的该第一金属段及该些主动区中的该第一主动区中的每一者,且电连接至该第一复数个金属段中的该第一金属段;及一栅极通孔结构,该栅极通孔结构自该第二复数个金属段中的一第二金属段延伸至该些栅极结构中的一第二栅极结构。该第一复数个金属段及该第二复数个金属段中的每一者的该些第一金属段电连接至该些主动区中的该第二主动区,与邻近该些栅极结构中的一第二栅极结构的该些主动区中的该第一主动区的一第一部分电隔离,且电连接至该些主动区中的该第一主动区的一第二部分。
在一些实施例中,第一复数个金属段中的第一金属段经由与主动区中的第二主动区直接接触的一第一源极/漏极通孔结构电连接至主动区中的第二主动区,且第二复数个金属段中的第一金属段经由一第一金属层通孔结构、第一复数个金属段中的一第二金属段及与主动区中的第一主动区的第二部分直接接触的一第二源极/漏极通孔结构电连接至主动区中的第一主动区的第二部分。
在一些实施例中,集成电路装置进一步包含多个电力轨道,电力轨道定位于半导体基板的一背面中;及多个背面通孔结构,背面通孔结构用以将主动区电连接至电力轨道,其中主动区中的第一主动区的第一部分包含耦接在电力轨道中的第一电力轨道与第二电力轨道之间的一晶体管系列的一共用源极/漏极端子。
在一些实施例中,栅极通孔结构为自第二复数个金属段延伸至栅极结构的两个栅极通孔结构中的一个,且主动区、栅极结构、两个栅极通孔结构、第一复数个金属段及第二复数个金属段、第一源极/漏极通孔结构及第二源极/漏极通孔结构、电力轨道及背面通孔结构经组态为一与非门或一或非门中的一者。
在一些实施例中,栅极通孔结构为自第二复数个金属段延伸至栅极结构的四个栅极通孔结构中的一个,且主动区、栅极结构、四个栅极通孔结构、第一复数个金属段及第二复数个金属段、第一源极/漏极通孔结构及第二源极/漏极通孔结构、电力轨道及背面通孔结构经组态为一与或非逻辑装置或一或与非逻辑装置中的一者。
在一些实施例中,栅极通孔结构为自第二复数个金属段延伸至栅极结构的多个栅极通孔结构中的一个栅极通孔结构,且主动区、栅极结构、栅极通孔结构、第一复数个金属段及第二复数个金属段、第一源极/漏极通孔结构及第二源极/漏极通孔结构、电力轨道及背面通孔结构经组态为一正反器电路。
在一些实施例中,栅极通孔结构为自第二复数个金属段延伸至栅极结构的多个栅极通孔结构中的一个栅极通孔结构,集成电路装置进一步包含一第三复数个金属段,第三复数个金属段在上覆于第二金属层的一第三金属层中在第二方向上延伸,且主动区、栅极结构、栅极通孔结构、第一复数个金属段至第三复数个金属段、第一源极/漏极通孔结构及第二源极/漏极通孔结构、电力轨道及背面通孔结构经组态为一正反器电路。
在一些实施例中,一种制造一IC结构的方法包括:在一半导体基板中,形成第一主动区及第二主动区,该第一主动区及该第二主动区在一第一方向上延伸;建构第一栅极结构及第二栅极结构,该第一栅极结构及该第二栅极结构在垂直于该第一方向的一第二方向上延伸,其中该第一栅极结构及该第二栅极结构中的每一者上覆于该第一主动区及该第二主动区中的每一者;在处于该第一栅极结构与该第二栅极结构之间的该第一主动区的一部分上形成一第一S/D通孔结构;在远离该第一栅极结构及该第二栅极结构延伸的该第二主动区的一部分上形成一第二S/D通孔结构;形成一第一金属段,该第一金属段在一第一金属层中在该第二方向上延伸且上覆于该第一S/D通孔结构及该第二主动区中的每一者;形成一第二金属段,该第二金属段在该第一金属层中、上覆于该第二S/D通孔结构;形成第一通孔结构及第二通孔结构,该第一通孔结构及该第二通孔结构上覆于相应的该第一金属段及该第二金属段;形成一SAC通孔结构,该SAC通孔结构上覆于该第一栅极结构或该第二栅极结构中的一者;形成一第三金属段,该第三金属段在一第二金属层中在该第一方向上延伸且上覆于该第一通孔结构及该第二通孔结构中的每一者,由此将该第一主动区的该部分电连接至该第二主动区的该部分;及形成一第四金属段,该第四金属段在该第二金属层中在该第一方向上延伸且在该SAC通孔结构上。
在一些实施例中,形成上覆于第二主动区的第一金属段的步骤包括以下步骤:形成与处于第一栅极结构与第二栅极结构之间的第二主动区的一部分电隔离的第一金属段。
在一些实施例中,方法进一步包含以下步骤:形成一背面通孔结构,背面通孔结构自处于第一栅极结构与第二栅极结构之间的第二主动区的部分延伸至半导体基板的一背面;及建构一电力轨道,电力轨道在半导体基板的背面中、电连接至背面通孔结构。
在一些实施例中,处于第一栅极结构与第二栅极结构之间的第二主动区的部分包含一晶体管系列的一共用源极/漏极端子。
一般熟悉此项技术者将容易了解,所揭示的实施例中的一或多者实现在上文陈述的优点中的一或多个。在阅读前述说明书之后,一般熟悉此项技术者将能够影响各种变化、等效物的取代及如本文中广泛揭示的各种其他实施例。因此期望在此授予的保护仅由随附权利要求及其等效物中所含的定义来限制。
Claims (10)
1.一种集成电路结构,其特征在于,该集成电路结构包含:
一第一主动区及一第二主动区,该第一主动区及该第二主动区在一半导体基板中在一第一方向上延伸;
一第一栅极结构及一第二栅极结构,该第一栅极结构及该第二栅极结构在垂直于该第一方向的一第二方向上延伸,其中该第一栅极结构及该第二栅极结构中的每一者上覆于该第一主动区及该第二主动区中的每一者;
一第一金属段,该第一金属段在一第一金属层中在该第二方向上延伸,其中该第一金属段上覆于处于该第一栅极结构与该第二栅极结构之间的该第一主动区及该第二主动区中的每一者;
一第二金属段及一第三金属段,该第二金属段及该第三金属段在一第二金属层中在该第一方向上延伸,其中该第二金属段上覆于该第一主动区及该第一金属段中的每一者且电连接至该第一金属段;及
一栅极通孔结构,该栅极通孔结构自该第三金属段延伸至该第一栅极结构或该第二栅极结构中的一者,
其中该第一金属段及该第二金属段电连接至该第二主动区,与处于该第一栅极结构与该第二栅极结构之间的该第一主动区的一第一部分电隔离,且电连接至延伸超出该第一栅极结构及该第二栅极结构的该第一主动区的一第二部分。
2.如权利要求1所述的集成电路结构,其特征在于,
该第一金属段经由与该第二主动区直接接触的一源极/漏极通孔结构电连接至该第二主动区。
3.如权利要求1所述的集成电路结构,其特征在于,
该第二金属段经由一第一金属层通孔结构、该第一金属层中的一第四金属段及与该第一主动区的该第二部分直接接触的一源极/漏极通孔结构电连接至该第一主动区的该第二部分。
4.如权利要求1所述的集成电路结构,其特征在于,
该第一栅极结构及该第一主动区经组态为一第一晶体管,
该第二栅极结构及该第一主动区经组态为一第二晶体管,且
该第一主动区的该第一部分包含该第一晶体管及该第二晶体管的一共用源极/漏极端子。
5.如权利要求1所述的集成电路结构,其特征在于,该集成电路结构进一步包含:
一电力轨道,该电力轨道定位于该半导体基板的一背面中;及
一通孔结构,该通孔结构电连接在该电力轨道与该第一主动区的该第一部分之间。
6.如权利要求1所述的集成电路结构,其特征在于,
该第一金属段电连接至处于该第一栅极结构与该第二栅极结构之间的该第二主动区的一部分;
其中该集成电路结构进一步包含:
一第四金属段,该第四金属段在该第二金属层中在该第一方向上延伸,其中该第四金属段上覆于该第二主动区的该部分及该第一金属段中的每一者且与该第二主动区的该部分及该第一金属段中的每一者电隔离。
7.如权利要求1所述的集成电路结构,其特征在于,该集成电路结构进一步包含:
一第三主动区,该第三主动区在该第一主动区与该第二主动区之间延伸,其中该第一金属段及该第二金属段与处于该第一栅极结构与该第二栅极结构之间的该第三主动区的一第三部分电隔离。
8.一种集成电路装置,其特征在于,该集成电路装置包含:
复数个主动区,该些主动区在一半导体基板中在一第一方向上延伸;
复数个栅极结构,该些栅极结构在垂直于该第一方向的一第二方向上延伸,其中该些栅极结构上覆于该些主动区;
一第一复数个金属段,该第一复数个金属段在一第一金属层中在该第二方向上延伸,其中该第一复数个金属段中的一第一金属段上覆于该些主动区中的第一主动区及第二主动区;
一第二复数个金属段,该第二复数个金属段在一第二金属层中在该第一方向上延伸,其中该第二复数个金属段中的一第一金属段上覆于该第一复数个金属段中的该第一金属段及该些主动区中的该第一主动区中的每一者,且电连接至该第一复数个金属段中的该第一金属段;及
一栅极通孔结构,该栅极通孔结构自该第二复数个金属段中的一第二金属段延伸至该些栅极结构中的一第二栅极结构,
其中该第一复数个金属段及该第二复数个金属段中的每一者的该些第一金属段电连接至该些主动区中的该第二主动区,与邻近该些栅极结构中的该第二栅极结构的该些主动区中的该第一主动区的一第一部分电隔离,且电连接至该些主动区中的该第一主动区的一第二部分。
9.如权利要求8所述的集成电路装置,其特征在于,
该第一复数个金属段中的该第一金属段经由与该些主动区中的该第二主动区直接接触的一第一源极/漏极通孔结构电连接至该些主动区中的该第二主动区,且
该第二复数个金属段中的该第一金属段经由一第一金属层通孔结构、该第一复数个金属段中的一第二金属段及与该些主动区中的该第一主动区的该第二部分直接接触的一第二源极/漏极通孔结构电连接至该些主动区中的该第一主动区的该第二部分;
该集成电路装置进一步包含:
复数个电力轨道,该些电力轨道定位于该半导体基板的一背面中;及
复数个背面通孔结构,该些背面通孔结构电连接在该些主动区与该些电力轨道之间,
其中该些主动区中的该第一主动区的该第一部分包含耦接在该些电力轨道中的第一电力轨道与第二电力轨道之间的一晶体管系列的一共用源极/漏极端子。
10.一种集成电路结构,其特征在于,包含:
一第一主动区域及一第二主动区域,该第一主动区及该第二主动区在一半导体基板中在一第一方向上延伸;
一第一栅极结构及一第二栅极结构,该第一栅极结构及该第二栅极结构在垂直于该第一方向的一第二方向上延伸,其中该第一栅极结构及该第二栅极结构中的每一者上覆于该第一主动区及该第二主动区中的每一者;
一第一源极/漏极通孔结构,在该第一栅极结构与该第二栅极结构之间的该第一主动区的一部分上;
一第二源极/漏极通孔结构,在远离该第一栅极结构及该第二栅极结构延伸的该第二主动区的一部分上;
一第一金属段,该第一金属段在一第一金属层中在该第二方向上延伸且上覆于该第一源极/漏极通孔结构及该第二主动区中的每一者;
一第二金属段,该第二金属段在该第一金属层中、上覆于该第二源极/漏极通孔结构;
一第一通孔结构及一第二通孔结构,该第一通孔结构及该第二通孔结构上覆于相应的该第一金属段及该第二金属段;
一自对准接触通孔结构,该自对准接触通孔结构上覆于该第一栅极结构或该第二栅极结构中的一者;
一第三金属段,该第三金属段在一第二金属层中在该第一方向上延伸且上覆于该第一通孔结构及该第二通孔结构中的每一者,由此将该第一主动区的该部分电连接至该第二主动区的该部分;及
一第四金属段,该第四金属段在该第二金属层中在该第一方向上延伸且在该自对准接触通孔结构上。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/752,737 | 2022-05-24 | ||
US17/752,737 US20230387011A1 (en) | 2022-05-24 | 2022-05-24 | First metal structure, layout, and method |
Publications (1)
Publication Number | Publication Date |
---|---|
CN219610436U true CN219610436U (zh) | 2023-08-29 |
Family
ID=87754496
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202321016085.3U Active CN219610436U (zh) | 2022-05-24 | 2023-04-28 | 集成电路结构及集成电路装置 |
Country Status (2)
Country | Link |
---|---|
US (1) | US20230387011A1 (zh) |
CN (1) | CN219610436U (zh) |
-
2022
- 2022-05-24 US US17/752,737 patent/US20230387011A1/en active Pending
-
2023
- 2023-04-28 CN CN202321016085.3U patent/CN219610436U/zh active Active
Also Published As
Publication number | Publication date |
---|---|
US20230387011A1 (en) | 2023-11-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111834362B (zh) | 集成电路和制造集成电路的方法 | |
US11727187B2 (en) | Transmission gate manufacturing method | |
US20200082052A1 (en) | Transmission gate structure, layout, methods, and system | |
US20220246599A1 (en) | Integrated circuit device and method | |
CN110858588A (zh) | 制造半导体元件的方法 | |
US20230402452A1 (en) | Integrated circuit layout method | |
US20240143888A1 (en) | Integrated circuit and method of forming the same | |
US20210358850A1 (en) | Integrated circuit device and method | |
US20230401372A1 (en) | Integrated circuit having hybrid sheet structure | |
US20230261002A1 (en) | Ic logic device, layout, system, and method | |
US11967560B2 (en) | Integrated circuit | |
US20230067734A1 (en) | Integrated circuit device, method and system | |
US11862621B2 (en) | Integrated circuit device | |
US11715733B2 (en) | Integrated circuit device and method | |
CN219610436U (zh) | 集成电路结构及集成电路装置 | |
CN115378421A (zh) | 电平移位电路和方法 | |
CN219642839U (zh) | 集成电路结构 | |
CN113268945A (zh) | 制造集成电路的方法 | |
US11935830B2 (en) | Integrated circuit with frontside and backside conductive layers and exposed backside substrate | |
US20240186241A1 (en) | Integrated circuit with frontside and backside conductive layers and exposed backside substrate | |
US11699015B2 (en) | Circuit arrangements having reduced dependency on layout environment | |
US11784646B1 (en) | Combined function IC cell device, layout, and method | |
US20220367460A1 (en) | Hybrid cell-based device, layout, and method | |
US20230067311A1 (en) | Integrated circuits having stacked transistors and backside power nodes | |
US20230402374A1 (en) | Signal conducting line arrangements in integrated circuits |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GR01 | Patent grant | ||
GR01 | Patent grant |