JP2021527338A - 堆積チャンバへのプロセス材料の流れを制御するための装置及び方法 - Google Patents

堆積チャンバへのプロセス材料の流れを制御するための装置及び方法 Download PDF

Info

Publication number
JP2021527338A
JP2021527338A JP2020569930A JP2020569930A JP2021527338A JP 2021527338 A JP2021527338 A JP 2021527338A JP 2020569930 A JP2020569930 A JP 2020569930A JP 2020569930 A JP2020569930 A JP 2020569930A JP 2021527338 A JP2021527338 A JP 2021527338A
Authority
JP
Japan
Prior art keywords
heat source
deposition chamber
process material
temperature
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020569930A
Other languages
English (en)
Other versions
JPWO2019245988A5 (ja
JP7413285B2 (ja
Inventor
アレクサンダー ラーナー,
ロイ シャビブ,
フィリップ スタウト,
ジョゼフ エム. ラニッシュ,
プラシャーント コスナ,
サティシュ ラダクリシュナン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021527338A publication Critical patent/JP2021527338A/ja
Publication of JPWO2019245988A5 publication Critical patent/JPWO2019245988A5/ja
Application granted granted Critical
Publication of JP7413285B2 publication Critical patent/JP7413285B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

堆積チャンバへのプロセス材料の流れを制御するための方法及び装置。実施形態では、該装置が、1以上の供給ラインを介して1以上の昇華器に流体連通する堆積チャンバを含み、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、開口部に隣接し、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間に1以上の導管を含み、1以上の導管は、1以上の導管を開閉するための1以上の弁を含み、開位置にある1以上の弁は、プロセス材料の堆積チャンバの中への流れを防止し、閉位置にある1以上の弁は、プロセス材料の堆積チャンバの中への流れを導く。
【選択図】図1

Description

[0001] 本開示の実施形態は、広くは、半導体処理機器に関する。本開示は、特に、例えば半導体デバイス製造機器において、気化した反応物を反応チャンバに供給するためのシステム、装置、及び方法を対象とする。
[0002] 有機蒸着は、CMOS画像センサ(CIS)や他の光学デバイスのような半導体デバイスを構築することにおいて、ますます関連性が高まっている。しかし、本発明者らは、堆積プロセスにおいて加工対象物上に有機材料を堆積させることは、とりわけキャリアガスの使用を妨げる純度及び/又は汚染の懸念のために、問題があることを観察した。
[0003] 更に、本発明者らは、有機蒸着中にプロセス条件を維持することが、困難であり、多くの理由で問題となり得ることを観察した。例えば、ウエハスループットや交換の頻度の増大は、装置を複雑にする。更に、前駆体遮断は、凝縮し得るラインシステム内の残留前駆体のために問題となり得る。残留前駆体蒸気はまた、チャンバの中に連続的に引き込まれ、前駆体のオーバーフラッド(over-flood)をもたらし得る。結果として、プロセスチャンバの内部や装置及びウエハの他の部分が、望ましくなくコーティングされてしまい、前駆体の消費効率を低下させる。コーティングは、続いて、ウエハや装置の他の部分を汚染し得る大きな粒子へと剥離し得る。更に、前駆体源の熱制御は、問題であり、供給ラインにおける無駄な蒸気の一因となり得る。
[0004] したがって、本発明者らは、有機蒸着システムのような堆積システムの中へのプロセス材料の流れを制御して、システム内でのめっき又は粒子生成の可能性を低減し、ならびに前駆体材料の堆積速度、消費効率、及び均一な供給を向上させるための、改善された前駆体供給システム、装置、及び方法を提供した。
[0005] 本明細書では、プロセス材料の流れを制御するための方法及び機器が提供される。幾つかの実施形態では、堆積チャンバへのプロセス材料の流れを制御するための装置が、1以上の供給ラインを介して1以上の昇華器に流体連通する堆積チャンバを含み、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源(radiant heat source)であり、第2の熱源は、開口部に隣接し、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間に1以上の導管を含み、1以上の導管は、1以上の導管を開閉するための1以上の弁を含み、開位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを防止し、閉位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを導く。
[0006] 幾つかの実施形態では、堆積チャンバへのプロセス材料の流れを制御するための方法が、1以上の昇華器内の1以上の前駆体材料を昇華させて、1以上の蒸気前駆体を生成することであって、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、開口部に隣接する、1以上の蒸気前駆体を生成すること、堆積チャンバに流体連通した1以上の供給ラインを通して1以上の蒸気前駆体を流すことであって、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間の接合部において1以上の導管に連結される、1以上の蒸気前駆体を流すこと、並びに、前駆体材料の1以上の昇華器から堆積チャンバへの流れを制御するように、1以上の導管内の1以上の弁を設定することを含む。
[0007] 幾つかの実施形態では、非一過性のコンピュータ可読媒体が指示命令を記憶し、指示命令は、実行されたときに、堆積チャンバへのプロセス材料の流れを制御するための方法をもたらし、該方法は、1以上の昇華器内の1以上の前駆体材料を昇華させて、1以上の蒸気前駆体を生成することであって、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、開口部に隣接する、1以上の蒸気前駆体を生成すること、堆積チャンバに流体連通した1以上の供給ラインを通して1以上の蒸気前駆体を流すことであって、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間の接合部において1以上の導管に連結される、1以上の蒸気前駆体を流すこと、並びに、前駆体材料の1以上の昇華器から堆積チャンバへの流れを制御するように、1以上の導管内の1以上の弁を設定することを含む。
[0008] 本開示の他の実施形態及び更なる実施形態は、以下で説明される。
[0009] 上記で簡潔に要約され、以下でより詳細に説明される本開示の実施形態は、添付の図面に示した本開示の例示的な実施形態を参照することにより、理解することができる。しかし、本開示は他の等しく有効な実施形態を許容し得ることから、付随する図面は、本開示の典型的な実施形態のみを示しており、したがって、範囲を限定するものと見なすべきではない。
[0010] 本開示の堆積システムの概略側面図を示す。 [0011] 図1の堆積システムの一部分の概略側面図を示し、加熱システムとガス供給システムとを含む前駆体供給システムが、拡大図で示される。 [0012] 本開示による、シャワーヘッドアセンブリの一実施形態の概略側面図を示す。 [0013] 図3のシャワーヘッドアセンブリの一部分の概略側面図を示す。 [0014] 本開示のシステム内で使用されるのに適した加熱装置の概略側面図を示す。 [0015] 本開示による、堆積チャンバへのプロセス材料の流れを制御するための方法を示す。
[0016] 理解を容易にするために、図面に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。図は縮尺どおりではなく、分かり易くするために簡略化されていることがある。一実施形態の要素及び特徴は、更なる記述がなくても、他の実施形態に有益に組み込まれ得ると想定されている。
[0017] 基板を処理すること及び/又は堆積チャンバへのプロセス材料の流れを制御することに向いている装置及び方法が、本明細書で提供される。幾つかの実施形態では、本開示が、堆積チャンバへのプロセス材料の流れを制御するための装置に関する、該装置は、1以上の供給ラインを介して1以上の昇華器に流体連通する堆積チャンバを含み、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、開口部に隣接し、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間に1以上の導管を含み、1以上の導管は、1以上の導管を開閉するための1以上の弁を含み、開位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを防止し、閉位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを導く。実施形態では、供給ラインが、堆積チャンバと1以上の昇華器との間の流路を形成し、1以上の導管が、プロセスチャンバと1以上の昇華器との間の接合部において1以上の供給ラインに連結している。
[0018] 発明者らは、加熱された前駆体供給システムが、プロセスチャンバの内側での凝縮の問題を回避するために、前駆体などの1以上のプロセス材料をプロセスチャンバから離れるように導くために、1以上の上流導管を必要とすることを観察した。更に、本発明者らは、前駆体材料のようなプロセス材料を、システムの複雑さを損なうことなく又は過度に追加することなく制御された様式で、堆積システムに効率的に供給することによって、本開示の装置及び方法が有益であることを見出した。更に、本発明者らは、本開示の装置及び方法が、均一な供給、前駆体材料の改善された消費効率、及びウエハ処理システムの効率的なスループットを提供することにおいて有益であることを見出した。更に、本開示の装置は、装置内のプロセス材料の流れを改善するために、前駆体材料などのプロセス材料の加熱を改善する。更に、本開示の装置は、供給システムを出る前に2つ以上の隣接したプロセス材料の間の熱クロストーク(cross-talk)を防止するように構成された、シャワーヘッド及び/又は供給システムを含んでよい。例えば、プロセス材料の2つ以上の前駆体種又はサンプルが、シャワーヘッドを出て基板上で凝縮する前に、同じ又は異なる温度での熱的な隔離において、装置を介して個別に処理されてよい。本プロセスは、基板又は加工対象物上に成長又は凝縮した有機薄膜に関して説明され得るが、本開示のプロセスは、結果として得られる膜を改善し、システム内の汚染レベルを低減させるために、プロセス材料の制御された反復可能な供給を維持することが所望である場合、任意のCVD、金属‐有機化学気相堆積、金属‐有機気相エピタキシ、又は薄膜堆積プロセスに適用されてよい。
[0019] 次に図1を参照すると、堆積チャンバ110内の基板116のような基板を処理することを対象とした堆積システム100が示されている。実施形態では、堆積チャンバ110が、本開示に従って有機前駆体堆積などのプロセス材料の堆積を実行するように構成された、カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造されたCVDチャンバであってよい。本開示に従って使用するのに適した又は使用されるようになっている1つの非限定的なシステムは、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な処理システムのENDURA(登録商標)ラインである。反応した前駆体及び添加物の層のような層を生成するために、他のシステムも使用されてもよい。しかし、プロセス材料の流れを制御する装置及び方法は、他の製造業者によって製造されたシステムを含む他のシステム構成において有用性を有し得るので、原子層堆積(ALD)又はスピンオンプロセスを含む他の装置及び方法が、本開示の装置及び方法を含むように構成されてよい。
[0020] 実施形態では、本開示の方法に従って処理される基板116が、半導体基板、ガラス基板、ウエハ、又は加工対象物であってよく、誘電体層(例えば、SiO2)及びバリア層(例えば、チタン、窒化チタンなど)のような、そこに形成される層を含んでよい。幾つかの実施形態では、基板116が、シリコン、ヒ化ガリウム、窒化ガリウム、又はそれらの組み合わせなどを含んでよい。実施形態では、基板116が、50マイクロメートルから1.5ミリメートルの厚さを有する。
[0021] 実施形態では、有機層などの層(図示せず)が、堆積プロセスによって基板116上に形成、凝縮、又は堆積されてよく、或いはその誘導体が基板116上に形成されてよい。幾つかの実施形態では、層が、1以上のプロセス材料から形成されてよい。実施形態では、本開示の装置及び方法で使用されるのに適したプロセス材料が、昇華及びその後の基板上での凝縮に適した任意の材料を含む。例えば、好適なプロセス材料は、加熱され、昇華され、基板又は加工対象物に流されて、凝縮し、その上に層を形成することができる固体の材料を含んでよい。幾つかの実施形態では、プロセス材料が、例えば、有機分子の炭素原子と、アルカリ、アルカリ土類、及び遷移金属などの金属、若しくは、ホウ素、シリコン、及びスズなどのメタロイド(metalloid)との間の化学結合を含む、有機金属化合物、誘導体、又はそれらの前駆体の有機蒸気を含む。幾つかの実施形態では、プロセス材料が、金属アルキル及び金属カルボニルを含む。幾つかの実施形態では、プロセス材料が、金属-アルコキシド若しくは金属-β-ジケトネートなどの金属-酸素結合又は金属アルキルアミドなどの金属-窒素結合を含む前駆体を含む。幾つかの実施形態では、プロセス材料前駆体が、トリメチルアミンアランなどの金属水素化物を含む。
[0022] 幾つかの実施形態では、層(図示せず)は、基板上での凝縮に適した条件下で、1以上の昇華又は蒸発した有機プロセス材料から基板116上に形成されてもよい。実施形態では、固体の形態を採る1以上のプロセス材料が、蒸気形態に加熱され、基板116上で冷却又は凝縮することが許容される。幾つかの実施形態では、金属窒化物プロセス材料の非限定的な実施例が、窒化ガリウム(GaN)、窒化アルミニウム(AlN)、及び窒化インジウム(InN)を含む。幾つかの実施形態では、AlGaN及び/又はInGaNなどの多重金属(multiple metal)が、本開示のプロセス材料として好適であり得る。幾つかの実施形態では、プロセス材料が、99.55、99.9%、及びそれより上などの99%を超える純度を有する。更に、幾つかの実施形態では、シリコン(Si)やマグネシウム(Mg)などのドーパントを、プロセス材料及び堆積された層又は膜に添加することができる。実施形態では、基板116上に形成された膜が、任意選択的に、堆積プロセス中に少量のドーパントガスを添加することによってドープされてよい。シリコンドーピングには、例えば、シラン(SiH4)又はジシラン(Si2H6)ガスを使用することができ、ドーパントガスには、マグネシウムドーピング用のビス(シクロペンタジエニル)マグネシウム(Cp2Mg又は(C5H52Mg)が含まれてよい。
[0023] 幾つかの実施形態では、適切なプロセス材料が、トリメチルガリウム(「TMG」)、トリメチルアルミニウム(「TMAI」)、及び/又はトリメチルインジウム(「TMI」)などの金属有機(MO)前駆体を含むIII族前駆体などの前駆体を含むこともできるが、他の適切なMO前駆体を使用することもできる。幾つかの実施形態では、好適なプロセス材料が、窒素前駆体、例えばアンモニア(NH3)などのV族前駆体を含む。一実施形態では、好適なプロセス材料が、以下で更に説明される第1のシャワーヘッド構成要素118又は第2のシャワーヘッド構成要素121の何れかに供給され得る、単一のMO前駆体(例えば、TMG)を含み得る。別の一実施形態では、好適なプロセス材料が、混合されて第1のシャワーヘッド構成要素118又は第2のシャワーヘッド構成要素121の何れかに供給することができる、TMG及びTMIなどの2つ以上のMO前駆体を含む。実施形態では、好適なプロセス材料が、本明細書で先に述べたIII族前駆体などの前駆体を含むが、本明細書で説明されるように、プロセス材料としての使用には他のIII族前駆体が好適であり得る。例えば、MがIII族元素(例えば、ガリウム、アルミニウム、又はインジウム)であり、XがVII族元素(例えば、臭素、塩素、又はヨウ素)である、一般式MX3を有する前駆体(例えば、GaCl3)を用いてもよい。ガス供給システム150(以下で更に説明する)の構成要素は、MX3前駆体を、シャワーヘッドアセンブリ112とシャワーヘッドアセンブリ112に流体連通する基板116とに供給するように適切に適合され得る。
[0024] 幾つかの実施形態では、本開示による処理に適した他のプロセス材料が、基板116上にバルクヘテロ接合層を形成するのに適した材料を含む。実施形態では、2つ以上のプロセス材料の共蒸発又は共昇華(固体の前駆体の場合には)を実行して、基板116上に層を生成することができる。実施形態では、第1の緑色バルクヘテロ接合前駆体プロセス材料及び第2の緑色バルクヘテロ接合前駆体プロセス材料を含む、共堆積ヘテロ接合が、本開示の装置及び方法に適したプロセス材料であり得る。
[0025] 実施形態では、プロセス材料が、基板116上に層を形成するのに十分な量で提供される。実施形態では、プロセス材料が、所定の厚さを有する層を基板116上に形成するのに十分な量で提供される。幾つかの実施形態では、プロセス材料が、約50ナノメートルから1ミリメートル、100ナノメートルから350ナノメートル、又は150ナノメートルから300ナノメートルの厚さを有する層などの、基板116上の層を形成するのに十分な量で基板116の主要面上に凝縮する。
[0026] 図1に戻って参照すると、堆積システム100は、堆積チャンバ110、及び前駆体供給システム120を含むように示されている。実施形態では、堆積システム100が、前駆体供給システム120の下流に堆積チャンバ110を含む。実施形態では、前駆体供給システム120が、1以上の加熱システム(142及び142’)並びに1以上のガス供給システム(150及び150’)を含んでよい。実施形態では、堆積システム100の構成要素(複数)が、連結され、流体連通しており、それによって、1以上の加熱システム(142及び142’)内のプロセス材料を昇華させ、続いて、1以上のガス供給システム(150及び150’)を通過させて堆積チャンバ110の中へと至らせることができる。実施形態では、1以上の加熱システム(142及び142’)と、ガス供給システム(150及び150’)と、堆積チャンバ110とが、流体連通していてよい。
[0027] 幾つかの実施形態では、前駆体供給システム120が、加熱システム(142及び142’)などの2つ以上(例えば、幾つか)の加熱システム、並びに、同じ又は異なるプロセス材料の1以上(幾つか)のサンプルを収容するように構成されたガス供給システム(150及び150’)などの2つ以上(例えば、幾つか)のガス供給システムを含んでよい。実施形態では、2つ以上の加熱システム(142及び142’)と2つ以上のガス供給システム(150及び150’)を含むことによって、(1以上の)サンプルが前駆体供給システム120を通過して堆積チャンバ110に至る際に、1以上のプロセス材料サンプルを隔離するように、前駆体供給システム120を構成することができる。例えば、1以上のサンプルを、プロセス材料サンプルが種々の温度に維持されるように、熱的に隔離するようにしてもよい。実施形態では、第1のプロセス材料が、第1の温度で前駆体供給システム120を通過してよく、第2のプロセス材料が、第1又は第2の温度で前駆体供給システム120を通過してよい。実施形態では、第1のプロセス材料の温度が、第2のプロセス材料の温度に影響を及ぼさないことになっている。実施形態では、前駆体供給システム120が、堆積チャンバ110を出る前に、前駆体供給システム120を通過するプロセス材料の2つ以上のサンプル間の熱クロストークを防止するように構成されてよい。実施形態では、前駆体供給システム120が、堆積チャンバ110を出る前に、2つ以上のガス供給システム(150及び150’)を通過するプロセス材料の2つ以上のサンプル間の熱クロストークを防止するように構成されてよい。
[0028] 幾つかの実施形態では、堆積システム100が、堆積システム100内の所定のプロセス(例えば、膜を堆積させること)を実行し、モニタするために使用される構成要素を含んでよい。このような構成要素は、概して、堆積システム100の様々なサブシステム(例えば、減圧及び排気サブシステムなど)及び装置(例えば、電源、プロセス制御器具など)を含む。実施形態では、堆積システムが、第1のポンプ180、第2のポンプ181、スロットル弁184、及び圧力弁183を含み、該システムの圧力を制御し、堆積システム100を減圧状態にするか又は維持する。実施形態では、スロットル弁184が、開いていてよく、堆積システム100内の低(760から25Torr)、中(25から1×10−3Torr)、又は高減圧(1×10−3から1×10−9)状態を実現するために、第1のポンプ180及び第2のポンプ181がポンピングする。実施形態では、減圧状態が、プロセス材料の蒸気圧に応じて選択され、変更されてよい。圧力弁183は、必要に応じて減圧状態を除去するために含まれてよい。実施形態では、堆積チャンバ110が、堆積チャンバ110の下流に排気経路191を含むか、又はその排気経路191と流体連通している。図1で示されているように、排気経路191は、第1の排気流路193と第2の排気流路194に分岐している。実施形態では、第1の排気流路193が、圧力弁183を含み、第2の排気経路194が、スロットル弁184を含む。図1で示されているように、1以上の導管(200及び200’)が、スロットル弁184の下流の第1の接合部199において、第2の排気流路194の中に流れる。実施形態では、第1のポンプ180が、第1の接合部199の下流にある。実施形態では、第1の排気流路193と第2の排気流路194は、圧力弁183と第1のポンプ180の下流の第2の接合部176で合流する。実施形態では、第2のポンプ181が、第2の接合部176の下流にある。
[0029] 実施形態では、堆積システム100が、前駆体供給システム120を介した堆積チャンバ110の中へのプロセス材料の流れを促進するために、減圧条件下で動作するように構成されている。実施形態では、減圧状態の質は、加熱システム(142及び142’)で最も低く、ガス供給システム(150、150’)でより高く、堆積チャンバ110で最も高くなる。実施形態では、加熱システム(142及び142’)が堆積チャンバ110より高い圧力にあるガス供給システム(150及び150’)より高い圧力にある、(全体の)システムにおいて圧力勾配が形成される。実施形態では、圧力勾配又は減圧状態が、蒸気又はガスの形態に昇華したプロセス材料の流れを、高圧のエリアから低圧のエリアへ、又は低減圧質から高減圧質へと導く。実施形態では、圧力勾配及び減圧状態が、加熱システム(142及び142’)からガス供給システム(150及び150’)を介して堆積チャンバ110の中へ、プロセス材料の流れを導く。例えば、実施形態では、システムの近似的な圧力が、プロセス材料源に近い加熱システム(142及び142’)内で例えば約5×10−5Torrなど減圧状態、(図2の矢印223に隣接する)シャワーヘッド装置の入口において約2×10−5Torrなどの減圧状態、及び堆積チャンバ110内で約1×10−7Torrを含んでよい。
[0030] 実施形態では、堆積システム100が、摂氏約300度から摂氏約550度、若しくは摂氏約400度以上、又は摂氏約500度以上などの、高温状態で動作するように構成されている。幾つかの実施形態では、堆積システム100及びその構成要素が、ステンレス鋼などの高温動作に適した材料から作製される。幾つかの実施形態では、動作中のガス供給システム150が、加熱システム142の温度又はプロセス材料の昇華温度より摂氏約20から40度上の温度を有することになる。
[0031] 図1に戻って参照すると、堆積システム100は、堆積チャンバ110、1以上の側部111、床128、及び蓋130を含むように示されている。シャワーヘッドアセンブリ112、ウエハ支持体114、基板116がまた、堆積チャンバ110内に配置されている。実施形態では、堆積チャンバ110が、減圧堆積チャンバである。実施形態では、ウエハ支持体114が、本開示に従って、その上に層を形成する間に基板116を回転させるように構成されている。実施形態では、堆積チャンバ110が、本開示に従って、その上に層を形成する間に基板116を回転させるように構成されている。幾つかの実施形態では、堆積チャンバ110が、堆積速度を制御するために、QCM又は同様の装置を含み、堆積速度は、温度によって調整されてよい。センサ144(例えば、水晶振動子マイクロバランス)が、任意選択的に、堆積チャンバ110の基板に隣接して、その基板と連通するように配置されてよい。センサ144は、基板116に熱を加えるための温度コントローラなどのコントローラ147と通じていてよい。
[0032] 更に図1を参照すると、シャワーヘッドアセンブリ112が、第1のシャワーヘッド構成要素118及び第2のシャワーヘッド構成要素121を有するように示されている。しかし、シャワーヘッドアセンブリ112は、シャワーヘッドアセンブリ112を出て基板116上で凝縮する前に、2つ以上の隣接するプロセス材料間の熱クロストークを防止するように構成された、任意のシャワーヘッドであってよい。例えば、シャワーヘッドアセンブリ112は、第1のプロセス材料の温度が、第2のプロセス材料の温度に影響を及ぼさないように、又はその逆に、シャワーヘッドアセンブリ112を出る前に、プロセス材料の2つ以上の種又はサンプルを、個別に、熱的に隔離して、同じ若しくは異なる温度で、シャワーヘッドアセンブリ112を通して流すように構成されてよい。幾つかの実施形態では、シャワーヘッドアセンブリ112が、その中の1以上のプロセス材料を凝縮させることなく、プロセス材料を堆積チャンバ110に供給するように構成されてよい。
[0033] 図1を参照すると、第1のシャワーヘッド構成要素118は、第1の温度センサ141と接続されるように示されており、このセンサは、第1のシャワーヘッド構成要素118から熱情報を取得し、第1の温度コントローラ124に信号を送り、通信し、若しくはフィードバックを提供するのに適した熱電対、高温計、又は抵抗熱デバイス(resistant thermal device)であってよい。第1の温度コントローラ124は、第1の温度センサ141から入力を受け取り、第1の加熱要素125の熱を制御、調整、又は設定するのに適し、且つそうするように構成された任意の好適な温度コントローラであってよい。第1の加熱要素125は、第1のシャワーヘッド構成要素118に熱を加える。したがって、第1の加熱要素125は、プロセス材料が堆積チャンバ110及びプロセス空間171に向かって移動し、その中に入るときに、所定の温度又は第1の設定温度などの第1の温度で、第1のシャワーヘッド構成要素118を通過する上述の前駆体を含む第1のプロセス材料に熱を加える。
[0034] 第2のシャワーヘッド構成要素121は、第2の温度センサ143に接続されるように示されており、このセンサは、第2のシャワーヘッド構成要素121から熱情報を取得し、第2の温度コントローラ126に信号を送り、通信し、若しくはフィードバックを提供するのに適した熱電対、高温計、又は抵抗熱デバイスであってよい。第2の温度コントローラ126は、第2の温度センサ143から入力を受け取り、第2の加熱要素127の熱を制御、調整、又は設定するのに適し、且つそうするように構成された任意の好適な温度コントローラであってよい。第2の加熱要素127は、第2のシャワーヘッド構成要素121に熱を加え、したがって、第2のプロセス材料が堆積チャンバ110に向かって移動し、その中に入るときに、第2の所定の温度又は第2の設定温度などの第2の温度で、第2のシャワーヘッド構成要素121を通過する第2のプロセス材料にも熱を加えることができる。実施形態では、第1の温度コントローラ124及び第2の温度コントローラ126が、第1の加熱要素125と第2の加熱要素127の熱を同じ温度若しくは異なる温度に制御、調整、又は設定するように構成されてよく、これらはまた、第1のプロセス材料と第2のプロセス材料の熱を同じ温度若しくは異なる温度に制御、調整、又は設定してもよい。実施形態では、第1の温度コントローラ124及び第2の温度コントローラ126が、第1の加熱要素125と第2の加熱要素127の熱を異なる温度に制御、調整、又は設定するように構成されてよく、これらはまた、第1のプロセス材料と第2のプロセス材料の熱を同じ温度若しくは異なる温度に制御、調整、又は設定してもよい。例えば、第1のシャワーヘッド構成要素118と第2のシャワーヘッド構成要素121は、異なる温度に加熱されてもよく、それによって、それらの中のプロセス材料も異なる温度に加熱され、それらが基板116上で堆積するためにプロセス空間171の中に入るまで異なる温度に保たれ得る。幾つかの実施形態では、第1のシャワーヘッド構成要素118を通過する第1のプロセス材料、及び第2のシャワーヘッド構成要素121を通過する第2のプロセス材料は、例えば、第1のプロセス材料と第2のプロセス材料との間の摂氏270度から摂氏550度の差異など、互いに対して熱的に隔離するように保持されてよい。実施形態では、シャワーヘッドアセンブリ112が、第1のシャワーヘッド構成要素118の温度が第2のシャワーヘッド構成要素121内のプロセス材料の温度に影響を及ぼさないように構成されている。実施形態では、シャワーヘッドアセンブリ112が、第2のシャワーヘッド構成要素121の温度が第1のシャワーヘッド構成要素118内のプロセス材料の温度に影響を及ぼさないように構成されている。実施形態では、シャワーヘッドアセンブリ112が、1以上のガス供給システム150からシャワーヘッドアセンブリ112に入る種々のプロセス材料の凝縮を防止するように構成されている。
[0035] 更に図1を参照すると、1以上の加熱システム142と1以上のガス供給システム150を含む、前駆体供給システム120が示されている。加熱システム142とガス供給システム150を含む前駆体供給システム120は、1以上の有機前駆体などの1以上のプロセス材料を、基板116上に堆積させるために、加熱し、堆積チャンバ110に供給するように構成されている。実施形態では、前駆体供給システム120が、第1のプロセス材料及び第2のプロセス材料を、制御された条件下で基板116上に堆積させるために、堆積チャンバ110に提供するのに適している。例えば、前駆体供給システム120は、1以上の温度、圧力、又は濃度で、第1のプロセス材料及び第2のプロセス材料を、基板116上に堆積させるために、堆積チャンバ110に提供するのに適している。1以上の加熱システム142と1以上のガス供給システム150が、図2で再び示されており、以下で詳細に説明される。実施形態では、前駆体供給システム120が、第1のプロセス材料と第2のプロセス材料を、熱的に隔離して、堆積チャンバ110内のシャワーヘッドアセンブリ112に提供するのに適している。それによって、第1のプロセス材料の温度が、第2のプロセス材料の温度に影響を及ぼさず、同様に、第2のプロセス材料の温度が、第1のプロセス材料の温度に影響を及ぼさない。
[0036] 次に図2を参照すると、前駆体供給システム120は、プロセス材料の加熱及び1以上のガス供給システム(150及び150’)への供給のために、1以上の加熱システム(142及び142’)を含むように示されている。実施形態では、加熱システム(142及び142’)などの加熱システムが、1以上の昇華器(146及び146’)に連結された第1の熱源(160及び160’)と第2の熱源(162及び162’)などの、2つ以上の熱源を含むように示されている。実施形態では、1以上の昇華器(146及び146’)が、堆積チャンバ110(図1)内の基板116上への凝縮に適した原料物質などのプロセス材料を収容するための加熱ジャケット(164及び164’)並びにアンプル(166及び166’)を含むように示されている。幾つかの実施形態では、1以上の昇華器(146及び146’)が、第1の熱源(160及び160’)並びに第2の熱源(162及び162’)などの、2以上の熱源を含む。幾つかの実施形態では、1以上の昇華器(146及び146’)が、第1の熱源(160及び160’)並びに第2の熱源(162及び162’)を含み、その場合、第1の熱源(160及び160’)は、プロセス材料を、プロセス材料の沸点又は昇華温度に近い第1の温度(T1)まで加熱するのに適しており、第2の熱源(162及び162’)は、プロセス材料を、第1の温度(T1)からプロセス材料の沸点又は昇華温度以上の第2の温度(T2)まで加熱するのに適している。実施形態では、1以上の昇華器(146及び146’)が、多区域加熱器を含む。
[0037] 幾つかの実施形態では、第1の熱源160などの1以上の第1の熱源が、アンプル166などのアンプルの近傍に配置された1以上の放射加熱器、又は、加熱ジャケット(164及び164’)の形態を採る、アンプル166などのアンプルに外接した加熱器を含んでよい。幾つかの実施形態では、第1の熱源(160及び160’)が、第1の熱源(160及び160’)からアンプル(166及び166’)に熱を伝達するのに適した任意の材料から製造されてよい。幾つかの実施形態では、1以上の第1の熱源(160及び160’)が、炭化ケイ素(SiC)から製造され得る放射加熱器を含んでよい。幾つかの実施形態では、第1の熱源(160及び160’)などの1以上の熱源の温度が、加熱システム(142及び142’)内に配置された温度センサ(172及び172’)又はモニタリングデバイス(例えば、高温計)によってモニタされてよい。温度センサ(172及び172’)は、第3の温度コントローラ(170及び170’)に温度情報を信号で送り又は通信するように構成された任意の温度センサであってよい。第3の温度コントローラ(170及び170’)は、温度センサ(172及び172’)から入力を受け取り、第1の熱源(160及び160’)の熱を制御し、調整し、又は設定するのに適し、且つそうするように構成された任意の適切な温度コントローラであってよい。実施形態では、第1の熱源(160及び160’)のような熱源が、加熱ジャケット(164及び164’)に熱を加え、したがって、アンプル(166及び166’)内に配置されたプロセス材料にも熱を加えることができる。実施形態では、第1の熱源(160及び160’)が、アンプル(166及び166’)並びにその中身を、摂氏250から350度の温度まで加熱するのに適している。実施形態では、第2の熱源(162及び162’)が、アンプル(166及び166’)並びにその中身を、摂氏350から550度の温度まで加熱するのに適している。
[0038] 図2を更に参照すると、1以上の昇華器(146及び146’)が、第1の熱源(160及び160’)の熱を制御するための第3の温度コントローラ(170及び170’)などの、温度コントローラを含むように示されている。第1の熱源(160及び160’)は、1以上の昇華器(146及び146’)内のプロセス材料の温度を設定温度に調整し、維持する。第3の温度コントローラ(170及び170’)は、第3の温度センサなどの温度センサ(172及び172’)に接続されるように示されている。実施形態では、温度センサ(172及び172’)が、1以上の昇華器(146及び146’)内を通過するプロセス材料の温度を測定し、第3の温度コントローラ(170及び170’)と通信することによって、その調整を容易にするのに適している。
[0039] 幾つかの実施形態では、1以上の加熱システム(142及び142’)が、1以上の昇華器(146及び146’)に連結された第1の熱源’(160及び160’)並びに第2の熱源(162及び162’)などの、2つ以上の熱源を含んでよい。幾つかの実施形態では、1以上の昇華器(146及び146’)が、第1の熱源(160及び160’)並びに第2の熱源(162及び162’)を含み、その場合、第1の熱源(160及び160’)は、プロセス材料を、プロセス材料の沸点又は昇華温度の近くの第1の温度まで加熱するのに適しており、第2の熱源(162及び162’)は、プロセス材料を、第1の温度からプロセス材料の沸点又は昇華温度以上の第2の温度まで加熱するのに適している。
[0040] 幾つかの実施形態では、第2の熱源(162及び162’)が、1以上の昇華器(146及び146’)に接触又は隣接した1以上の加熱ランプを含んでよい。幾つかの実施形態では、加熱ランプなどの1以上の第2の熱源(162及び162’)が、ガス供給システム(150及び150’)内の管として構成された供給ライン(190及び190’)に隣接して且つ連通して配置された温度モニタデバイス又はセンサ(185及び185’)(例えば、水晶振動子マイクロバランス)によってモニタされてよい。温度モニタデバイスは、アンプル(166及び166’)に熱を加えるためのランプコントローラなどの、第4の温度コントローラ(175及び175’)に信号を送るのにも適していてよい。実施形態では、センサ(185及び185’)が、ガス供給システム(150及び150’)に入る昇華した蒸気材料の濃度を制御し又は設定するために使用されてもよい。
[0041] 幾つかの実施形態では、第2の熱源(162及び162’)が、例えば、アンプル(166及び166’)に隣接した同心円又は区域(図2では示されてない)の周り又は内部に配置された複数のランプを含んでよく、各ランプ区域は個別に給電されてよい。一実施形態では、センサ(185及び185’)などの1以上の温度センサが、1以上の加熱システム(142及び142’)並びに1以上のガス供給システム(150及び150’)に通じるように前駆体供給システム120内に配置されてよい。センサ(185及び185’)は、プロセス材料及び反応性ガスの温度をモニタするように構成されている。実施形態では、センサ(185及び185’)が、第4の温度コントローラ(175及び175’)に温度データ又は情報を通信するように構成されている。第4の温度コントローラ(175及び175’)は、アンプル(166及び166’)の周りの所定の温度プロファイルを維持するために、個別のランプ区域への電力を調整することができる。別の一実施形態では、個別のランプ区域への電力が、前駆体の流れ又は前駆体の濃度の不均一性を補償するために調整されてよい。例えば、1以上のガス供給システム(150及び150’)内で、アンプル(166及び166’)の上部に隣接する外側ランプ区域の近くの前駆体の濃度が低い場合、その外側ランプ区域への電力は、1以上のガス供給システム(150及び150’)内でのプロセス材料又は前駆体の枯渇を補償する助けとなるように調整されてよい。実施形態では、ランプが、プロセス材料を摂氏約400度から摂氏約1200度の範囲内の温度まで加熱してよい。本開示は、ランプのアレイの使用に限定されない。アンプル(166及び166’)並びにそれらの内部のプロセス材料に適切な温度が適切に加えられることを確実にするために、任意の適切な加熱源が利用されてよい。例えば、別の一実施形態では、加熱源が、アンプル(166及び166’)と熱接触している抵抗加熱要素(図示せず)を含んでよい。
[0042] 実施形態では、第2の熱源(162及び162’)が、2つ以上の加熱ランプを含んでよい。加熱ランプは、1以上のアンプル(166及び166’)を所望の温度に加熱するのに適した任意の種類の加熱ランプであってよい。例えば、幾つかの実施形態では、第2の熱源(162及び162’)の加熱ランプが、急速加熱プロセスチャンバ(RTP)又はエピタキシャル(EPI)チャンバ内で利用されるランプと同様であってよい。そのような実施形態では、加熱ランプが、最大約650W(例えば、RTPプロセスチャンバランプなど)まで、又は幾つかの実施形態では、最大約2kW(例えば、EPIプロセスチャンバランプなど)までの容量(capacity)を有してよい。1以上のアンプル166の適切で効率的な加熱を提供するのに適した任意の構成内で、任意の数の加熱ランプを利用することができる。例えば、幾つかの実施形態では、昇華器(146及び146’)当たり1から3個の加熱ランプを昇華器146の周りに配置することができる。代替的に又は組み合わせて、抵抗加熱器又は熱交換器などの他の加熱機構が利用されてよい。実施形態では、第2の加熱源162が、ガス供給システム150の近傍に配置されてよい。それによって、ガス供給システム150に隣接したプロセス材料に熱を加えて、プロセス材料のガス供給システム150の中への流れを促進することができる。図2の供給ライン(190及び190’)並びに導管(200及び200’)に隣接する点線によって示されているように、ガス供給ライン並びに導管の近傍に更なる加熱源が配置されてもよい。
[0043] 処理中、第2の熱源(162及び162’)は、赤外線(IR)輻射(すなわち、熱)源(radiation heat source)としてランプを含んでよく、動作では、昇華器全体にわたって所定の温度分布を生成する。アンプル(166及び166’)は、石英から形成されてよいが、他のIR-透過・プロセス対応型材料を使用して、これらの構成要素を形成することもできる。例えば、加熱ランプは、昇華器の上部側面に熱均一性を提供するための多区域ランプ加熱装置の一部であってよい。実施形態では、1以上の加熱システム(142及び142’)が、複数の加熱区域を含んでよく、その場合、各加熱区域は、複数のランプを含む。例えば、1以上のランプは、第1の加熱区域であってよく、1以上の他のランプは、第2の加熱区域であってよい。1以上の昇華器146は、昇華器の熱制御の制御を更に助けるために、及び/又はその中の有機前駆体などのプロセス材料に対して、例えば、能動的な冷却などによって温度を制御することができる。
[0044] 幾つかの実施形態では、加熱システム142が、1以上の昇華器146に連結された第1の熱源160及び第2の熱源162などの2つ以上の熱源を含んでよく、その場合、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、供給ラインとアンプルとの間の開口部などの、アンプル内の開口部に隣接している。実施形態では、第2の加熱源が、開口部の上方にあり且つ隣接してしている。実施形態では、第2の加熱源が、1以上の赤外線(IR)輻射熱源を含む。幾つかの実施形態では、第1の熱源が、アンプル内に存在するときにプロセス材料を第1の温度まで加熱するように構成され、第2の熱源が、プロセス材料を第1の温度より高い第2の温度まで加熱するように構成されている。
[0045] 更に図2を参照すると、1以上の昇華器146は、第2の熱源(162及び162’)を制御するための第4の温度コントローラ(175及び175’)を含むように示されている。第2の熱源162は、1以上の昇華器146内のプロセス材料の温度を設定温度に調整及び維持する。第4の温度コントローラ175は、上述したセンサ185に接続されるように示されている。熱電対などの第4の温度コントローラ175は、1以上の昇華器146内を通過するプロセス材料の温度を調整及び設定し、センサ185と通信することによってその調整を容易にするのに適している。
[0046] 更に図2を参照すると、1以上のガス供給システム(150及び150’)が、第1のガス供給ライン(132及び132’)を含むように示されている。実施形態では、ガス供給システム150が、加熱システム142及び堆積チャンバ110(図1)と流体連通するように連結されている。一般的に、流体連通は、流体連通している2つ以上の要素が、連結部を介して流体、ガス、又は蒸気を交換することができ、2つの要素の間で流体、ガス、又は蒸気が流れることを可能にする構成を指し得る。例えば、加熱システム142内で昇華又は蒸発したプロセス材料は、ガス供給システム150の中に流れてよく、供給ライン190を通って、プロセス空間171(図1)の中に流れてよい。実施形態では、ガス供給システム150が、堆積チャンバ110と流体連通し、加熱システム142内で減圧下で昇華又は蒸発した処理材料が、第1の昇華器などの昇華器146から、ガス供給システム150、供給ライン190、シャワーヘッドアセンブリ112を通って、プロセス空間171(図1で示されている)の中に運ばれ又は押し出される。
[0047] 図2を参照すると、ガス供給システム(150及び150’)は、昇華器(146及び146’)から蒸発又は昇華したプロセス材料を誘導及び分配するための、第1のガス供給ライン132などの1以上の第1のガス供給ライン(132及び132’)を含む。実施形態では、第1のガス供給ライン(132及び132’)が、昇華器(146及び146’)から、シャワーヘッドアセンブリ112などの処理チャンバ内の出口に、蒸発又は昇華したプロセス材料を導いてよい。実施形態では、第1のガス供給ライン(132及び132’)が、高温に耐えるのに適した材料から構成されてよい。幾つかの実施形態では、供給ライン190が、第1のガス供給ライン132の一部分であり、直線的な分配管であり、円筒の形状を有する管を含む管として理解されてよい。その場合、円筒は、円形下部形状又は任意の他の適切な下部形状を有してよい。
[0048] 幾つかの実施形態では、プロセス材料、キャリアガス、又はパージガスが、供給ライン190を通って流れてよい。上記で説明したように、センサ185(例えば、水晶振動子マクロバランス)は、実施形態において、任意選択的に、供給ライン190に連結されてよく、蒸発した前駆体などのプロセス材料の流量又はその温度をモニタするために使用されてよい。しかし、幾つかの実施形態では、第1のガス供給ライン(132及び132’)並びに供給ラインの部分(190及び190’)が、減圧下にあり、任意の昇華したプロセス材料以外のガスを含まない。
[0049] 幾つかの実施形態では、ガス供給システム(150及び150’)が、複数のガス源を含んでよい。実施形態では、パージガスなどの種々のガス又は他のものを、第1のガス供給ライン(132及び132’)を介して、ガス供給システム(150及び150’)に供給することができる。第1のガス供給ライン(132及び132’)は、各ライン内のガスの流れをモニタし規制又は遮断するための、第2の弁(197及び197’)などの1以上の遮断弁及び質量流量コントローラ又は他の種類のコントローラを含んでよい。実施形態では、ガス供給システム(150及び150’)が、減圧下にあり、ガス又は蒸気の形態を採る昇華したプロセス材料以外のガスを欠いている。
[0050] 別の一実施形態では、例えば、基板116上で凝縮しIII‐V族の膜などの層を形成するために、ガス又は蒸気の形態を採るプロセス材料が、プロセス空間171などの堆積プロセス空間に供給され得るように、ガス供給システム(150及び150’)並びに加熱システム(142及び142’)が構成されてよい。
[0051] 実施形態では、ガス供給システム(150及び150’)が、昇華器(146及び146’)とシャワーヘッドアセンブリ112(図2で示されていない)との間の接合部において、第1のガス供給ライン(132及び132’)に連結された導管(200及び200’)などの1以上の導管を更に含んでよい。実施形態では、導管の接合部が、昇華器(146及び146’)と堆積チャンバ110との間にある。幾つかの実施形態では、弁(220及び220’)などの排気弁を開閉して、プロセス材料の流れを調整し、第1のガス供給ライン(132及び132’)並びに堆積チャンバ110内の圧力を調整することができる。弁(220及び220’)は、弁220が開いた(例えば、開位置にある)ときに、堆積チャンバ110内での有機前駆体などのプロセス材料の堆積が停止するように、システム内に配置される。実施形態では、弁220が、弁220が閉じた(例えば、閉位置にある)ときに、堆積チャンバ110内での有機前駆体などのプロセス材料の堆積が開始又は継続するように、システム内に配置される。実施形態では、弁(220及び220’)などの適切な排気弁が、摂氏400度より上、又は摂氏400度と摂氏1500度との間などの、高温で働くように構成され且つ働くことができる1以上の弁を含む。実施形態では、プロセス空間171の圧力が、弁(220及び220’)を操作することによって制御されてよい。弁(220及び220’)は、排気ガスがガス供給システム(150及び150’)から引き出される速度を制御する。
[0052] 幾つかの実施形態では、ガス供給システム150並びに第1のガス供給ライン132及び第2の弁197などのその部分が、中に含まれているプロセス材料の温度以上の温度を有するはずである。たとえば、プロセス材料の蒸発又は昇華温度に応じて、ガス供給システム150の温度は、プロセス材料の温度以上であるはずである。それは、ガス供給システム内での凝縮を防止又は最小化するためである。更に、ガス供給システム150及びその構成要素は、摂氏300度より上、摂氏400度より上、摂氏500度より上、それより上などの、高温動作向けに適した材料から作製されるべきである。
[0053] 実施形態では、ガス供給システム(150及び150’)が、減圧条件下にある間、システム内の圧力を変更し、プロセス材料の移動を促進するために、第2の弁(197及び197’)並びに弁(220及び220’)を所定の位置に設定することによって調整されてよい。例えば、第2の弁197を開くことによって、加熱システム(142及び142’)からのプロセス材料が、堆積チャンバ110に向かって流れることを可能にする。第2の弁197が開かれ且つ弁220が開かれているときに、プロセス材料は、矢印222の方向において、堆積チャンバ110から離れるように導かれる。第2の弁197が開かれ且つ弁220が閉じられているときに、プロセス材料は、最小抵抗又はより低い圧力の経路に沿って、矢印223の方向において、堆積チャンバ110の中に導かれる。動作中、基板がチャンバ内のペデスタル上に配置されたとき、プロセス材料の堆積チャンバ110の中への流れを再誘導するために、弁220が閉じられる。幾つかの実施形態では、プロセス材料を保存するために、弁220が開かれてよく、第2の弁197は、プロセス材料を節約するために、供給源からの流れを制限するように絞られてよい。次の堆積サイクルの開始において、第2の弁197は、堆積を再開するために開かれる。
[0054] 幾つかの実施形態では、ガス供給システム150が、堆積速度を制御するために、QCM又は同様のデバイスを含み、堆積速度は温度によって調整されてよい。センサ185(例えば、水晶振動子マイクロバランス)は、ガス供給システム150の供給ライン190に隣接して且つそれと連通するように配置されてよい。温度モニタデバイスは、アンプル166へ熱を加えるためのランプコントローラなどの第4の温度コントローラ175に信号を送るのにも適していてよい。
[0055] 実施形態では、1以上の有機前駆体などのプロセス材料が、第2のシャワーヘッド構成要素121から堆積チャンバ110内の基板116へ供給される。次に図3を参照すると、本開示によるシャワーヘッドアセンブリ300の概略側面図が示されている。実施形態では、シャワーヘッドアセンブリ300が、第1のシャワーヘッド構成要素318及び第2のシャワーヘッド構成要素321を含む。第1のシャワーヘッド構成要素318は、入口320、複数の出口325、ニードル328、及びスリーブ329を含む。ピラー330は、第1のシャワーヘッド構成要素318上に配置されている。第2のシャワーヘッド構成要素321はまた、第2の入口333及び複数の出口335を備えるように示されている。第1のシャワーヘッド構成要素318と第2のシャワーヘッド構成要素321との間に、絶縁層340が設けられている。実施形態では、シャワーヘッドアセンブリ300が、シャワーヘッドアセンブリ300を通過する別々の供給源から生じたプロセス材料を熱的に隔離するように構成されている。
[0056] 図4を参照すると、図3のシャワーヘッドアセンブリ300の一部分の概略側面図が断面で示されている。実施形態では、シャワーヘッドアセンブリ300が、第1のシャワーヘッド構成要素318及び第2のシャワーヘッド構成要素321を含む。第1のシャワーヘッド構成要素部318は、複数の上側ノズル管360及び複数の上側ノズルフィードスルー管365を含む。複数のノズル350が示されている。ノズル350は、上側ノズル管360と第2のシャワーヘッド構成要素321とに連結され、それらと流体連通するように示されている。一般的に、複数のノズル350は、基板116(図1)に隣接して配置するために、シャワーヘッドアセンブリ300の片側に配置されている。実施形態では、シャワーヘッドアセンブリ300が、シャワーヘッドアセンブリ300を通過するが別々の供給源から生じたプロセス材料を熱的に隔離するように構成されている。
[0057] 幾つかの実施形態では、シャワーヘッドアセンブリ300が、基板116(図1)の第1の側に向けて1以上のプロセス材料の流れを導く。幾つかの実施形態では、第1のプロセス材料が、上述の有機前駆体などの材料を含んでよい。実施形態では、第1のシャワーヘッド構成要素318が、ガス供給システム150などの第1のガス供給システムに連結され、それと流体連通してよく、第2のシャワーヘッド構成要素321が、例えば150’として示されている第2のガス供給システムに連結され、それと流体連通してよい。実施形態では、第1及び第2のガス供給システムが、プロセスの必要性に応じて異なる温度及び圧力でそれぞれのシャワーヘッド構成要素に供給され得る、1以上の異なる処理材料を含んでよい。図3を参照すると、第1のシャワーヘッド構成要素318が、第1の処理材料供給のための第1の組のノズル350を有してよく、第2のシャワーヘッド構成要素321が、第2の処理材料供給のための第2の組のノズル350を有してよい。実施形態では、ノズル350が、10から20ミリメートルの間のピッチを有する。実施形態では、ノズルが、0.5から2、又は約1ミリメートルの開口部を有する。幾つかの実施形態では、第1の処理材料が、第2の処理材料と同じ前駆体を含む。幾つかの実施形態では、第1の処理材料が、第2の処理材料とは異なる前駆体を含む。
[0058] 幾つかの実施形態では、第1のシャワーヘッド構成要素318及び第2のシャワーヘッド構成要素321を通るプロセス材料の流量が、約300SLMから約500SLMである。幾つかの実施形態では、1以上の加熱要素(図1で示されている第1の加熱要素125及び第2の加熱要素127など)が、シャワーヘッドアセンブリ300に連結されており、シャワーヘッドアセンブリ300を、プロセス材料の蒸発温度又は昇華温度以上の温度まで加熱する。幾つかの実施形態では、加熱器227が、シャワーヘッドアセンブリ300に巻き付けられた電気コイルであってよく、又はシャワーヘッドアセンブリ300内に埋め込まれた電気コイルであってよい。幾つかの実施形態では、シャワーヘッド228が、プロセス材料の蒸発温度又は昇華温度以上の温度まで加熱される。幾つかの実施形態では、第1のシャワーヘッド構成要素318と第2のシャワーヘッド構成要素321が異なる温度にあり、シャワーヘッドの温度の制御を提供し、2つ以上のプロセス材料間の隔離を提供するように、シャワーヘッドアセンブリが加熱される。幾つかの実施形態では、第1のシャワーヘッド構成要素318が摂氏約550度で動作することができ、第2のシャワーヘッド構成要素321が摂氏約270度の温度で動作することができるように、シャワーヘッドアセンブリが加熱される。実施形態では、シャワーヘッドアセンブリ300の設計が、基板全体にわたって均一な堆積を可能にする。
[0059] 次に図5を参照すると、本開示のシステムで使用するのに適した別の加熱装置500が示されている。昇華器501は、アンプル560内のプロセス材料565への制御された区域加熱を提供するために、アンプル560及び複数の加熱区域(T1及びT2)を含むように示されている。実施形態では、昇華器501が、例えば、能動的な加熱などによって温度制御されて、昇華器501の及び/又はその中の有機前駆体などのプロセス材料565に対する温度制御の制御の更なる助けとなる。例えば、520、521、522、523、524、525、又は526などの1以上の加熱器が、昇華器に熱均一性を提供するための多区域加熱装置の部分であってよい。実施形態では、昇華器501が、複数の加熱区域を含んでよく、各加熱区域は、複数の加熱器を含む。例えば、1以上の加熱器520、521、522、又は523が、第1の加熱区域T1であってよく、524、525、又は526などの1以上の他の加熱器が、第2の加熱区域T2を形成してよい。幾つかの実施形態では、520、521、522、又は523などの1以上の加熱器が、アンプル560に近接して配置された1以上の放射加熱器、又は、加熱ジャケットの形態を採る、アンプル560に外接した加熱器を含んでよい。幾つかの実施形態では、520、521、522、523、524、525、又は526などの1以上の加熱器が、加熱器からアンプル560へ熱を伝達するのに適した任意の材料から製造されてよい。実施形態では、加熱区域T1が、アンプル560及びその中身を、摂氏250から350度の範囲内の第1の温度まで加熱するのに適している。実施形態では、第2の加熱区域T2が、アンプル560及びその中身を摂氏350から550度の範囲内の第2の温度まで加熱するのに適している。実施形態では、昇華又は蒸発したプロセス材料が、導管570を通って昇華器501から出てよい。実施形態では、加熱装置500が、第1の熱源及び第2の熱源を含む。その場合、第1の熱源は、アンプルに隣接した520、521、522、又は523などの放射熱源であり、524、525、又は526のうちの1以上などの第2の熱源は、開口部581に隣接している。実施形態では、開口部581に隣接した524、525、又は526のうちの1以上などの1以上の第2の熱源が、アンプル560内に存在するときにプロセス材料を加熱するための1以上の熱ランプを含んでよい。実施形態では、アンプル560が、充填ライン580まで満たされたプロセス材料を含む。実施形態では、第2の熱源が、充填ライン580の上方及び/又は近傍に位置付けられている。実施形態では、開口部581が、供給ラインと流体連通し且つ連結されている。実施形態では、開口部581が、加熱装置500内の充填ライン580の上方に配置されている。
[0060] 実施形態では、1以上のコントローラを、直接的に(図示せず)、又は、代替的に、プロセスチャンバ及び/又は支持システムに関連付けられたコンピュータ(又はコントローラ)を介して、堆積システム100及び支持システムに接続することができる。実施形態では、コントローラが、様々なチャンバ及びサブプロセッサを制御するために工業環境で使用され得る、任意の形態を採る汎用コンピュータプロセッサのうちの1つであってよい。ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態を採るローカル若しくは遠隔デジタルストレージなどの、容易に入手できるメモリのうちの1以上を含む、メモリ又はCPUのコンピュータ可読媒体が、含まれてよい。実施形態では、支持回路(support circuit)が、従来のやり方でプロセッサを支持するためにCPUに接続される。これらの回路は、キャッシュ、電力供給装置、クロック回路、入/出力回路、及びサブシステムなどを含む。
[0061] 幾つかの実施形態では、本開示が、指示命令を記憶した非一過性のコンピュータ可読媒体に関する。それらの指示命令は、実行されると、堆積チャンバへのプロセス材料の流れを制御するための方法をもたらす。該方法は、1以上の昇華器内の1以上の前駆体材料を昇華させて、1以上の蒸気前駆体を生成すること、堆積チャンバに流体連通した1以上の供給ラインを通して1以上の蒸気前駆体を流すことであって、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間の接合部において1以上の導管に連結される、1以上の蒸気前駆体を流すこと、及び、前駆体材料の1以上の昇華器から堆積チャンバへの流れを制御するように、1以上の導管内の1以上の弁を設定することを含む。
[0062] 幾つかの実施形態では、本開示が、堆積チャンバへのプロセス材料の流れを制御するための装置に関する。該装置は、1以上の供給ラインを介して1以上の昇華器に流体連通する堆積チャンバを含み、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、開口部に隣接し、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間に1以上の導管を含み、1以上の導管は、1以上の導管を開閉するための1以上の弁を含み、開位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを防止し、閉位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを導く。幾つかの実施形態では、第2の加熱源が、開口部の上方にあり且つ開口部に隣接している。幾つかの実施形態では、第2の加熱源が、1以上の赤外線(IR)又は広帯域輻射熱源を含む。幾つかの実施形態では、第1の熱源が、アンプル内に存在するときにプロセス材料を第1の温度まで加熱するように構成され、第2の熱源が、プロセス材料を第1の温度より高い第2の温度まで加熱するように構成されている。幾つかの実施態様では、堆積チャンバが、堆積チャンバの下流に排気経路を含む。幾つかの実施態様では、排気経路が、第1の排気流路と第2の排気流路に分岐する。幾つかの実施態様では、第1の排気流路が、圧力弁を含み、第2の排気流路が、スロットル弁を含む。幾つかの実施態様では、1以上の導管が、スロットル弁の下流の第1の接合部において第2の排気流路の中に流入する。幾つかの実施態様では、第1のポンプが、第1の接合部の下流にある。幾つかの実施態様では、第1の排気流路と第2の排気流路が、圧力弁と第1のポンプの下流の第2の接合部で合流する。実施形態では、第2のポンプが、第2の接合部の下流にある。実施形態では、第1の熱源が、放射熱源を含み、第2の熱源が、赤外線(IR)輻射熱源を含み、放射熱源と赤外線(IR)輻射熱源とは、異なる熱区域にある。幾つかの実施形態では、赤外線(IR)輻射熱源が、センサに接続されている。実施形態では、1以上の供給ラインが、1以上の導管と1以上の昇華器との間に配置された第2の弁を更に含む。実施形態では、1以上の弁が、堆積チャンバのプロセス空間の圧力を制御する。
[0063] 次に図6を参照すると、本開示による、堆積チャンバへのプロセス材料の流れを制御するための方法600が示されている。602では、プロセス手順が、1以上の昇華器内の1以上の前駆体材料を昇華させて、1以上の蒸気前駆体を生成することであって、1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、第1の熱源は、アンプルに隣接した放射熱源であり、第2の熱源は、開口部に隣接する、1以上の蒸気前駆体を生成することを含む。604では、方法600のプロセス手順が、堆積チャンバに流体連通した1以上の供給ラインを通して1以上の蒸気前駆体を流すことであって、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間の接合部において1以上の導管に連結される、1以上の蒸気前駆体を流すことを含む。606では、方法600のプロセス手順が、前駆体材料の1以上の昇華器から堆積チャンバへの流れを制御するように、1以上の導管内の1以上の弁を設定することを含む。実施形態では、該方法が、プロセス材料の堆積チャンバの中への流れを防止するために、1以上の弁を開位置に設定することを含む。その場合、1以上の弁を閉位置に設定することによって、プロセス材料の堆積チャンバの中への流れを導くことができる。実施形態では、堆積チャンバに流体連通する1以上の供給ラインを通して1以上の蒸気前駆体を流すことが、1以上の供給ラインを通って流れる1以上の蒸気前駆体の温度を維持することを含む。幾つかの実施形態では、堆積チャンバに流体連通する1以上の供給ラインを通して1以上の蒸気前駆体を流すことが、第1の蒸気前駆体が第1の供給ラインを通って流れるときに、第1の温度にある第1の蒸気前駆体の温度を維持すること、及び、第1の蒸気前駆体が第2の供給ラインを通って流れるときに、第2の温度にある第2の蒸気前駆体の温度を維持することを含む。
[0064] 一実施形態では、本開示が、堆積チャンバへのプロセス材料の流れを制御するための装置に関する。該装置は、1以上の供給ラインを介して1以上の昇華器に流体連通する堆積チャンバを含み、1以上の供給ラインは、堆積チャンバと1以上の昇華器との間に1以上の導管を含み、1以上の導管は、1以上の導管を開閉するための1以上の弁を含み、開位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを防止し、閉位置にある1以上の弁は、プロセス材料が1以上の供給ライン内に存在するときに、プロセス材料の堆積チャンバの中への流れを導く。実施形態では、該装置が、堆積チャンバの下流の排気経路を更に含む。実施態様では、排気経路が、第1の排気流路と第2の排気流路に分岐する。幾つかの実施態様では、第1の排気流路が圧力弁を含み、第2の排気流路がスロットル弁を含む。幾つかの実施態様では、1以上の導管が、スロットル弁の下流の第1の接合部において第2の排気流路の中に流入する。実施形態では、第1のポンプが、第1の位置の下流にある。実施態様では、第1の排気流路と第2の排気流路が、圧力弁と第1のポンプの下流の第2の接合部で合流する。幾つかの実施形態では、第2のポンプが、第2の接合部の下流にある。幾つかの実施形態では、1以上の昇華器が、それぞれ、2つ以上の熱源を含む。幾つかの実施形態では、2つ以上の熱源が、放射熱源及び赤外線(IR)又は広帯域輻射熱源を含み、放射熱源と赤外線(IR)又は広帯域輻射熱源とは、異なる熱区域にある。幾つかの実施形態では、赤外線(IR)輻射熱源が、センサに接続されている。幾つかの実施形態では、1以上の供給ラインが、1以上の導管と1以上の昇華器との間に配置された第2の弁を更に含む。幾つかの実施形態では、1以上の弁が、少なくとも摂氏400度の温度での使用に適している。幾つかの実施形態では、1以上の弁が、堆積チャンバのプロセス空間の圧力を制御する。
[0065] 上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及びさらなる実施形態を考案してもよい。

Claims (15)

  1. 堆積チャンバへのプロセス材料の流れを制御するための装置であって、
    1以上の供給ラインを介して1以上の昇華器に流体連通する堆積チャンバを備え、前記1以上の昇華器は、それぞれ、開口部を介して前記1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を備え、前記第1の熱源は、前記アンプルに隣接した放射熱源であり、前記第2の熱源は、前記開口部に隣接し、前記1以上の供給ラインは、前記堆積チャンバと前記1以上の昇華器との間に1以上の導管を備え、前記1以上の導管は、前記1以上の導管を開閉するための1以上の弁を備え、開位置にある前記1以上の弁は、プロセス材料が前記1以上の供給ライン内に存在するときに、プロセス材料の前記堆積チャンバの中への流れを防止し、閉位置にある前記1以上の弁は、プロセス材料が前記1以上の供給ライン内に存在するときに、プロセス材料の前記堆積チャンバの中への流れを導く、装置。
  2. 前記第2の加熱源は、前記開口部の上方にあり且つ前記開口部に隣接している、請求項1に記載の装置。
  3. 前記第2の加熱源は、1以上の赤外線(IR)輻射熱源又は広帯域輻射熱源を備える、請求項1又は2に記載の装置。
  4. 前記第1の熱源は、前記アンプル内に存在するときにプロセス材料を第1の温度まで加熱するように構成され、前記第2の熱源は、前記プロセス材料を前記第1の温度より高い第2の温度まで加熱するように構成されている、請求項1から3のいずれか一項に記載の装置。
  5. 前記堆積チャンバは、前記堆積チャンバの下流に排気経路を備える、請求項1から4のいずれか一項に記載の装置。
  6. 前記排気経路は、第1の排気流路と第2の排気流路に分岐する、請求項5に記載の装置。
  7. 前記第1の排気流路が圧力弁を備え、前記第2の排気流路がスロットル弁を備える、請求項6に記載の装置。
  8. 前記1以上の導管は、前記スロットル弁の下流の第1の接合部において前記第2の排気流路の中に連結する、請求項7に記載の装置。
  9. 第1のポンプが、前記第1の接合部の下流にある、請求項8に記載の装置。
  10. 前記第1の排気流路と前記第2の排気流路が、前記圧力弁と前記第1のポンプの下流の第2の接合部で合流する、請求項9に記載の装置。
  11. 第2のポンプが、前記第2の接合部の下流にある、請求項10に記載の装置。
  12. 前記第1の熱源が放射熱源を備え、前記第2の熱源が赤外線(IR)輻射熱源又は広帯域輻射熱源を備え、前記放射熱源と前記赤外線(IR)輻射熱源又は前記広帯域輻射熱源とが異なる熱区域にある、請求項1から11のいずれか一項に記載の装置。
  13. 堆積チャンバへのプロセス材料の流れを制御するための方法であって、
    1以上の昇華器内の1以上の前駆体材料を昇華させて、1以上の蒸気前駆体を生成することであって、前記1以上の昇華器は、それぞれ、開口部を介して1以上の供給ラインに流体連通するアンプル、並びに少なくとも第1の熱源及び第2の熱源を含み、前記第1の熱源は、前記アンプルに隣接した放射熱源であり、前記第2の熱源は、前記開口部に隣接する、1以上の蒸気前駆体を生成すること、
    堆積チャンバに流体連通した1以上の供給ラインを通して前記1以上の蒸気前駆体を流すことであって、前記1以上の供給ラインは、前記堆積チャンバと前記1以上の昇華器との間の接合部において1以上の導管に連結される、1以上の蒸気前駆体を流すこと、並びに
    前駆体材料の前記1以上の昇華器から前記堆積チャンバへの流れを制御するように、前記1以上の導管内の1以上の弁を設定することを含む、方法。
  14. 1以上の弁を開位置に設定することが、前記堆積チャンバの中へのプロセス材料の前記流れを防止し、1以上の弁を閉位置に設定することが、前記堆積チャンバの中へのプロセス材料の前記流れを導く、請求項13に記載の方法。
  15. 指示命令を記憶した非一過性のコンピュータ可読媒体であって、前記指示命令は、実行されると、堆積チャンバへのプロセス材料の流れを制御するための方法をもたらし、前記方法が、
    1以上の昇華器内の1以上の前駆体材料を昇華させて、1以上の蒸気前駆体を生成すること、
    堆積チャンバに流体連通した1以上の供給ラインを通して前記1以上の蒸気前駆体を流すことであって、前記1以上の供給ラインは、前記堆積チャンバと前記1以上の昇華器との間の接合部において1以上の導管に連結される、1以上の蒸気前駆体を流すこと、並びに
    前駆体材料の前記1以上の昇華器から前記堆積チャンバへの流れを制御するように、前記1以上の導管内の1以上の弁を設定することを含む、コンピュータ可読媒体。
JP2020569930A 2018-06-18 2019-06-17 堆積チャンバへのプロセス材料の流れを制御するための装置及び方法 Active JP7413285B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862686492P 2018-06-18 2018-06-18
US62/686,492 2018-06-18
US16/442,527 2019-06-16
US16/442,527 US11393703B2 (en) 2018-06-18 2019-06-16 Apparatus and method for controlling a flow process material to a deposition chamber
PCT/US2019/037527 WO2019245988A1 (en) 2018-06-18 2019-06-17 Apparatus and methods for controlling the flow of process material to a deposition chamber

Publications (3)

Publication Number Publication Date
JP2021527338A true JP2021527338A (ja) 2021-10-11
JPWO2019245988A5 JPWO2019245988A5 (ja) 2022-07-05
JP7413285B2 JP7413285B2 (ja) 2024-01-15

Family

ID=68839653

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020569930A Active JP7413285B2 (ja) 2018-06-18 2019-06-17 堆積チャンバへのプロセス材料の流れを制御するための装置及び方法

Country Status (6)

Country Link
US (2) US11393703B2 (ja)
JP (1) JP7413285B2 (ja)
KR (1) KR20210011061A (ja)
CN (1) CN112400222A (ja)
TW (1) TWI827623B (ja)
WO (1) WO2019245988A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
CN114365044A (zh) * 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备
CN114144540B (zh) 2019-07-26 2024-06-11 应用材料公司 用于在基板上形成膜的蒸发器腔室
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
JP2023545633A (ja) * 2020-09-18 2023-10-31 ワットロー・エレクトリック・マニュファクチャリング・カンパニー 流体流導管内の堆積物の存在を検出するためのシステムおよび方法
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
US11721566B2 (en) * 2021-07-13 2023-08-08 Applied Materials, Inc. Sensor assembly and methods of vapor monitoring in process chambers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006339461A (ja) * 2005-06-02 2006-12-14 Elpida Memory Inc 半導体装置製造用成膜装置および成膜方法
JP2011054789A (ja) * 2009-09-02 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2014194964A (ja) * 2013-03-28 2014-10-09 Tokyo Electron Ltd ガス供給装置の制御方法および基板処理システム

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3573888A (en) * 1968-02-06 1971-04-06 Anchor Hocking Glass Corp Vapor overheating method and apparatus for strengthening glass
JPS61205629A (ja) * 1985-03-08 1986-09-11 Sumitomo Electric Ind Ltd 原料供給装置
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
JPS6467243A (en) * 1987-09-08 1989-03-13 Nippon Tylan Kk Raw material vaporization control system
DE3833232A1 (de) * 1988-09-30 1990-04-05 Leybold Ag Verfahren und vorrichtung zum verdampfen von bei raumtemperatur fluessigen monomeren
DE4124018C1 (ja) * 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
JP2825172B2 (ja) * 1992-07-10 1998-11-18 東京エレクトロン株式会社 減圧処理装置および減圧処理方法
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
JP3190165B2 (ja) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
JP3501524B2 (ja) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
US5851293A (en) * 1996-03-29 1998-12-22 Atmi Ecosys Corporation Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations
US5888579A (en) * 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6176930B1 (en) 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
TW451275B (en) * 1999-06-22 2001-08-21 Tokyo Electron Ltd Metal organic chemical vapor deposition method and apparatus
JP2002053962A (ja) * 2000-08-01 2002-02-19 Tokyo Electron Ltd 気相成長方法及び気相成長装置並びに気相成長装置用の気化器
US6596641B2 (en) * 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
DE60239683D1 (de) * 2001-08-08 2011-05-19 Tokyo Electron Ltd Wärmebehandlungsverfahren und wärmebehandslungseinrichtung
TW578198B (en) * 2001-08-24 2004-03-01 Asml Us Inc Atmospheric pressure wafer processing reactor having an internal pressure control system and method
US7390535B2 (en) * 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
CA2510415C (en) * 2005-06-21 2012-08-14 Redlen Technologies Inc. A cold-walled vessel process for compounding, homogenizing and consolidating semiconductor compounds
JP4317174B2 (ja) * 2005-09-21 2009-08-19 東京エレクトロン株式会社 原料供給装置および成膜装置
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP4828918B2 (ja) * 2005-11-07 2011-11-30 ルネサスエレクトロニクス株式会社 気化器及び気相成長装置
US8089031B2 (en) * 2007-02-27 2012-01-03 Tokyo Electron Limited Heating apparatus for heating objects to be heated, heating method for heating the objects to be heated, and storage medium in which computer-readable program is stored
JP5005388B2 (ja) * 2007-03-01 2012-08-22 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
JP4464979B2 (ja) * 2007-03-05 2010-05-19 東京エレクトロン株式会社 処理システム、処理方法、及び、プログラム
JP4553266B2 (ja) * 2007-04-13 2010-09-29 東京エレクトロン株式会社 熱処理装置、制御定数の自動調整方法及び記憶媒体
JP2008274365A (ja) 2007-05-01 2008-11-13 Shin Etsu Chem Co Ltd Si含有膜形成材料、Si含有膜及びその製造方法、並びに半導体デバイス
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
JP5010414B2 (ja) * 2007-09-26 2012-08-29 東京エレクトロン株式会社 基板処理システム,基板処理装置の制御方法,およびプログラム
CN101896995B (zh) 2007-12-20 2012-05-30 应用材料公司 具有改善的气流分布的热反应器
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
JP5217663B2 (ja) * 2008-06-11 2013-06-19 東京エレクトロン株式会社 被処理体の熱処理装置及び熱処理方法
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US8294068B2 (en) 2008-09-10 2012-10-23 Applied Materials, Inc. Rapid thermal processing lamphead with improved cooling
US20100305884A1 (en) 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US8647433B2 (en) * 2009-12-13 2014-02-11 Axt, Inc. Germanium ingots/wafers having low micro-pit density (MPD) as well as systems and methods for manufacturing same
JP5762209B2 (ja) * 2011-08-10 2015-08-12 東京エレクトロン株式会社 温度制御方法、その温度制御方法を実行させるためのプログラムを記録した記録媒体、温度制御システム及び熱処理装置
US10428807B2 (en) * 2011-12-09 2019-10-01 Applied Materials, Inc. Pump power consumption enhancement
JP2014007289A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd ガス供給装置及び成膜装置
ES2480865B1 (es) * 2012-12-28 2015-05-20 Abengoa Solar New Technologies, S.A. Fuente de evaporación para el transporte de precursores químicos, y método de evaporación para el transporte de los mismos que utiliza dicha fuente.
JP6468884B2 (ja) * 2014-04-21 2019-02-13 東京エレクトロン株式会社 排気システム
US9857027B2 (en) * 2014-07-03 2018-01-02 Applied Materials, Inc. Apparatus and method for self-regulating fluid chemical delivery
US9257314B1 (en) * 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
JP6457104B2 (ja) * 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102517907B1 (ko) 2016-12-12 2023-04-03 어플라이드 머티어리얼스, 인코포레이티드 전구체 제어 시스템 및 프로세스
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
WO2020251696A1 (en) * 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006339461A (ja) * 2005-06-02 2006-12-14 Elpida Memory Inc 半導体装置製造用成膜装置および成膜方法
JP2011054789A (ja) * 2009-09-02 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2014194964A (ja) * 2013-03-28 2014-10-09 Tokyo Electron Ltd ガス供給装置の制御方法および基板処理システム

Also Published As

Publication number Publication date
US20190382890A1 (en) 2019-12-19
TW202012685A (zh) 2020-04-01
KR20210011061A (ko) 2021-01-29
WO2019245988A1 (en) 2019-12-26
US11393703B2 (en) 2022-07-19
CN112400222A (zh) 2021-02-23
JP7413285B2 (ja) 2024-01-15
TWI827623B (zh) 2024-01-01
US20220351988A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
JP2021527338A (ja) 堆積チャンバへのプロセス材料の流れを制御するための装置及び方法
US20240011189A1 (en) Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US9449859B2 (en) Multi-gas centrally cooled showerhead design
TWI503867B (zh) Cvd製程及cvd反應器
JP4970554B2 (ja) Mocvd法またはhvpe法を選択的に用いて結晶層を堆積させるための装置および方法
US8481118B2 (en) Multi-gas straight channel showerhead
JP5911491B2 (ja) 高放射率表面を有するガス分配シャワーヘッド
US20040050325A1 (en) Apparatus and method for delivering process gas to a substrate processing system
US20090035946A1 (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090095222A1 (en) Multi-gas spiral channel showerhead
US20110290175A1 (en) Multi-Chamber CVD Processing System
US20080286981A1 (en) In situ silicon and titanium nitride deposition
JP2021536531A (ja) 複数前駆体の均一供給のためのセグメント化シャワーヘッド
WO2020033757A1 (en) Showerhead for providing multiple materials to a process chamber
JP2013026358A (ja) シャワープレート及び気相成長装置
TWI518198B (zh) 製備薄膜之系統
US20120052657A1 (en) Method of forming film and substrate processing apparatus
KR20130057231A (ko) 기판 온도 제어 방법 및 이를 이용한 기판 처리 장치
JPH02188493A (ja) 化合物半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220627

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231227

R150 Certificate of patent or registration of utility model

Ref document number: 7413285

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150