JP5911491B2 - 高放射率表面を有するガス分配シャワーヘッド - Google Patents

高放射率表面を有するガス分配シャワーヘッド Download PDF

Info

Publication number
JP5911491B2
JP5911491B2 JP2013525905A JP2013525905A JP5911491B2 JP 5911491 B2 JP5911491 B2 JP 5911491B2 JP 2013525905 A JP2013525905 A JP 2013525905A JP 2013525905 A JP2013525905 A JP 2013525905A JP 5911491 B2 JP5911491 B2 JP 5911491B2
Authority
JP
Japan
Prior art keywords
gas
chamber
coating
gas distribution
distribution showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013525905A
Other languages
English (en)
Other versions
JP2013536590A (ja
Inventor
広二 塙
広二 塙
キャウィン マウン,
キャウィン マウン,
ファー チュン,
ファー チュン,
ジエ ツイ,
ジエ ツイ,
デーヴィッド ブール,
デーヴィッド ブール,
ウェイ−ヤン スー,
ウェイ−ヤン スー,
リャン−ユウ チェン,
リャン−ユウ チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013536590A publication Critical patent/JP2013536590A/ja
Application granted granted Critical
Publication of JP5911491B2 publication Critical patent/JP5911491B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明の実施形態は、包括的には基板上への材料の化学気相堆積(CVD)のための方法及び装置に関し、詳細には、有機金属化学気相堆積(MOCVD)及び/又は水素化物気相エピタキシ(HVPE)に用いられるような薄膜堆積チャンバにおいて用いるための、シャワーヘッドの構造及びコーティング並びに高放射率を有する表面コーティングの形成を含む、プロセスチャンバ構成要素のための表面処理に関する。
半導体デバイスの製造では、通常、化学気相堆積(CVD)チャンバが用いられる。CVDチャンバは、単一の基板若しくはウエハ上で1つ又は複数の堆積プロセスを実行するように、又は基板若しくはウエハのバッチ上で1つ又は複数の堆積プロセスを実行するように適合させることができる。ガス分配シャワーヘッドは、チャンバ内に位置する1つ又は複数の基板に隣接する、一般的には基板の上方において隣接する処理領域に前駆体を送達し、1つ又は複数の基板上に薄膜などの材料を堆積する。熱CVD堆積プロセスにおけるプロセス温度は薄膜形成速度及び薄膜特性に影響を及ぼす。基板表面にわたる堆積均一性を確保するために、基板の表面全体、又は基板のバッチ内の各基板が同じ温度、すなわち、妥当な許容範囲内にある温度に暴露されなければならない。処理領域内の温度に影響を及ぼす1つの要因は、チャンバハードウェアの放射率である。
ガス分配シャワーヘッド、及びチャンバ本体のような処理領域付近にある他のハードウェア構成要素は、一般的に、低放射率材料から作製される。チャンバハードウェアが新品の状態にある、すなわち、プロセスガス化学物質によって酸化又は腐食されていないとき、放射率は既知であり、通常は低いか、又は相対的に反射性である。しかしながら、チャンバ表面の特性は時間の経過とともに劣化する場合があり、表面の放射率はチャンバ内で基板を繰返し処理すると変化する場合があるので、結果として、基板にわたって、そして複数の基板が同時に処理される場合には基板間で、そしてプロセス実行間(すなわち、ウエハ間、又はバッチ間)で温度が変動する場合がある。チャンバ構成要素表面は堆積材料で覆われるようになり、かつ/又は腐食される、すなわち、酸化されるか、或いは化学的に変更されるようになるので、チャンバ構成要素の放射率は変化する。チャンバ構成要素の放射率が変化するのに応じて、基板温度はプロセス実行間(すなわち、ウエハ間、又はバッチ間)でドリフトする傾向がある。したがって、チャンバ構成要素の放射率の変化は、処理領域の温度に、それゆえ、基板の温度に影響を及ぼし、基板の温度は基板上の薄膜形成及び薄膜特性に影響を及ぼす。
一例では、1つ又は複数の基板は、ランプのような熱源とガス分配シャワーヘッドとの間に配置される基板支持体によって処理領域内に支持される。温度均一性、又は基板支持体の温度均一性の制御を向上させるために、基板支持体は、その構成によって、他のチャンバ構成要素に対して限られた伝導性伝熱経路を有する。しかしながら、この同じ設計は、例えば、埋込型の抵抗加熱ヒータによる抵抗加熱、又は支持体埋込型の流体循環式ヒータの場合のような、基板支持体の直接加熱を難しくする。結果として、基板支持体は、基板支持体の下方又は後方に配置されるランプから間接的に加熱され、熱は、ガス分配シャワーヘッドの反対側にある基板支持体の面に作用する。この間接的な熱の一部は、基板支持体及び1つ又は複数の基板によって吸収され、一方、この間接的な熱の別の部分は、ガス分配シャワーヘッドの表面に向かって放射され、その熱はシャワーヘッド表面から吸収又は放射される。放射される熱の量は、シャワーヘッド表面の放射率に大きく依存する。したがって、処理領域の温度は、均衡又は不均衡があり、間接的ではあるが、ランプによってチャンバに入力される熱の関数である。ガス分配シャワーヘッドによって吸収され、ガス分配シャワーヘッドの能動冷却によって除去される熱、及びガス分配シャワーヘッドから放射される熱は均衡しており、その均衡の最後の部分はガス分配シャワーヘッドの表面の変化する放射率の関数である。1つ又は複数の基板及び基板支持体並びに他のチャンバ構成要素からの熱と、ランプによって入力される熱とを除去するために、主にガス分配シャワーヘッドの能動冷却によって、処理領域内の温度の調節が助長される。1つ又は複数の基板に達する熱が1つ又は複数の基板から離れる熱に等しいとき、1つ又は複数の基板は所望の温度を保持する。2つの熱値に差がある場合には、1つ又は複数の基板及び基板支持体の温度は変化する。
上記のように、1つ又は複数の基板及び基板支持体の間接加熱は放射加熱に頼る。これは幾つかの要因に依存するが、1つ又は複数の基板に達する熱、又は1つ又は複数の基板から離れる熱の量の主な要因は熱交換表面の放射率である。熱交換表面の放射率が高いほど、結果として熱吸収が多くなり、それらの表面からの熱放射(反射)が小さくなる。放射率が変化する場合には、設定された、又は所望の基板温度を保持するための結果的な熱収支が変化する。詳細には、記述されるシステムでは、ガス分配シャワーヘッドの放射率が変化する結果として、基板温度がドリフトすると見なされる。本質的には、ガス分配シャワーヘッドは高い熱反射性の素子として処理を開始し、それゆえ、ランプからシャワーヘッドに達するエネルギーはシャワーヘッドから放射される傾向があり、結果として、基板温度が高くなる。しかしながら、処理が行われると、放射率が変化し、それゆえ、システムの熱収支が変化するので、結果として、基板温度が望ましくないほど低下するか、又は変化する。これは、ランプからの熱エネルギーを増やすことによって、シャワーヘッドによって除去される熱を減らすことによって、又はその両方によって或る程度改善することができるが、許容できない頻度でチャンバを手作業で洗浄しなければならないほどのドリフトが生じる。さらに、洗浄後に、チャンバは、新品のときにガス分配シャワーヘッドが有していた熱収支特性を回復しないことがわかっている。
チャンバ構成要素のための数多くの材料が現在利用されており、かつ/又は調査されてきた。しかしながら、全ての材料が、露出面上の前駆体材料の接着、又はこれらの露出面の腐食若しくは酸化に起因して、放射率変化を受ける。さらに、材料を洗浄することはできるが、それらの表面の放射率は新品の表面の放射率のレベルまで回復しない場合があり、かつ/又は洗浄された表面は後続の処理中に放射率変化を受けることになる。放射率変化の結果として、プロセスにドリフトが生じるので、更なる監視及び調整が必要となり、その調整を監視されたプロセスに基づいて変更し、再現可能なウエハ間及びウエハ内堆積結果を与えなければならない。
それゆえ、温度及び/又はプロセスドリフトを低減するために、放射率特性を安定させることができるガス分配シャワーヘッド及び他のチャンバ構成要素が必要とされている。
本発明は包括的には、本明細書において記述される実施形態による、化学気相堆積(CVD)プロセスにおいて用いられるプロセスチャンバ構成要素に被着される表面コーティングのための改善された方法、及び表面コーティングを有する、CVDプロセスにおいて用いられる装置を提供する。一実施形態では、シャワーヘッド装置が提供される。シャワーヘッド装置は、本体と、本体を貫通して延在する複数のコンジットであって、複数のコンジットはそれぞれ、本体の処理表面まで延在する開口部を有する、複数のコンジットと、処理表面上に配置されるコーティングとを備え、そのコーティングは約50ミクロン〜約200ミクロン厚であり、約0.8の放射率係数と、約180マイクロインチ〜約220マイクロインチの平均表面粗さと、約15%以下の多孔率とを含む。
別の実施形態では、堆積チャンバが提供される。その堆積チャンバは、チャンバ本体であって、チャンバ本体の内面と、ガス分配シャワーヘッドの内面と、ドーム構造体の内面との間に含まれる内部容積を有する、チャンバ本体と、ガス分配シャワーヘッドと向かい合う関係で内部容積内に配置される基板支持体と、ドーム構造体を通して光を導く1つ又は複数ランプアセンブリとを備える。ガス分配シャワーヘッドは、本体と、本体内に配置される複数のコンジットであって、複数のコンジットはそれぞれ、内部容積に1つ又は複数のガスを送達するために本体の内面まで延在する開口部を有する、複数のコンジットと、ガス分配シャワーヘッドの内面上に配置されるコーティングとを備える。
別の実施形態では、基板を処理するための方法が提供される。その方法は、チャンバの処理容積を包囲する本体の1つ又は複数の表面にコーティングを被着することと、チャンバの処理容積に1つ又は複数の基板からなる第1のバッチを移送することと、チャンバの処理容積に入力エネルギーを与えて、1つ又は複数の基板からなる第1のバッチを設定点温度まで加熱し、1つ又は複数の基板上で第1の堆積プロセスを実行することと、処理容積の外部に1つ又は複数の基板を移送することと、チャンバの処理容積に1つ又は複数の基板からなる第2のバッチを移送することと、1つ又は複数の基板からなる第2のバッチを設定点温度まで加熱し、1つ又は複数の基板上で第2の堆積プロセスを実行することとを含み、設定点温度は約0.12%未満だけ入力エネルギーを変更することによって保持される。
本発明の先に記載された特徴を詳細に理解することができるように、実施形態を参照することによって、先に手短に要約された本発明のより詳細な説明を行うことができ、実施形態のうちの幾つかは添付の図面において図示される。しかしながら、本発明は他の同等に実効的な実施形態を認めることができるので、添付の図面は本発明の典型的な実施形態のみを示しており、それゆえ、その範囲を制限するもの見なされるべきではないことに留意されたい。
本明細書において記述される実施形態による、半導体デバイスを作製するための処理システムの一実施形態を示す概略的な平面図である。 本発明の一実施形態による、半導体デバイスを作製するための化学気相堆積(CVD)チャンバの概略的な断面図である。 図2に示される細部Aの拡大図である。 本発明の一実施形態による、図2からのシャワーヘッドアセンブリの概略的な部分底面図である。
理解するのを容易にするために、可能な場合には、同じ参照番号を用いて、図面に共通である同じ要素を指示している。一実施形態の要素及び機構は、更に詳述することなく他の実施形態に都合良く組み込むことができると考えられる。
本発明の実施形態は、包括的に化学気相堆積(CVD)プロセスにおいて用いられるチャンバ構成要素のための方法及び装置を提供する。一実施形態では、その方法及び装置は、有機金属化学気相堆積(MOCVD)及び/又は水素化物気相エピタキシ(HVPE)ハードウェアを用いて、III族窒化物薄膜を堆積するために用いることができる。一態様では、発光ダイオード(LED)、レーザダイオード(LD)又は他のデバイスを形成する材料を堆積するのに適した処理チャンバが提供される。
熱CVD堆積プロセスにおけるプロセス温度は、薄膜形成速度及び薄膜特性に影響を及ぼす。全てのプロセス変数が等しく保持される場合、チャンバ構成要素の放射率が変化するので、プロセス実行間(すなわち、ウエハ間又はバッチ間)のプロセス温度はドリフトする傾向があり、それゆえ、1つ又は複数の基板の温度がドリフトすることがわかった。チャンバ構成要素表面は堆積材料で覆われるようになり、かつ/又は腐食される、すなわち、酸化されるか、或いは化学的に変更されるようになるので、チャンバ構成要素の放射率は変化する。表面を処理前の元の条件に戻そうとして、チャンバ内の部品は定期的に洗浄されるが、本発明人は、洗浄後に表面が元の状態に回復しないか、又は表面がその状態に繰返し回復するわけではないことに気が付いている。結果として、新品の構成要素と同じであることが望ましい構成要素の反射率及び放射率は、異なる状態にある。したがって、洗浄後であっても、プロセス温度及び温度均一性は、望まれる、又は予想されるのとは異なる。
本明細書において、本発明人は、表面特性を変更し、かつ/又はチャンバ構成要素、詳細には、ランプ加熱式CVDチャンバにおいて用いられる金属チャンバ構成要素をコーティングすることによって、複数の処理及び/又は洗浄サイクルにわたって、その放射率特性を安定させることができることに気が付いた。用語「放射率」は、或る表面からの放射と、同じ温度における黒体からの放射との比を指している。
図1は、CVDプロセスを用いて基板上に薄膜を堆積するための複数のプロセスチャンバ102を備える処理システム100の一実施形態を示す概略的な平面図である。一実施形態では、複数のプロセスチャンバ102のうちの1つ又は複数はCVDチャンバであり、CVDチャンバは、MOCVD又はHVPEプロセスのようなCVDプロセスにおいて用いることができる。処理システム100は、移送チャンバ106と、移送チャンバ106と結合される少なくとも1つのプロセスチャンバ102と、移送チャンバ106と結合されるロードロックチャンバ108と、移送チャンバ106と結合され、基板を格納するためのバッチロードロックチャンバ109と、ロードロックチャンバ108と結合され、基板を装填するためのロードステーション110とを備える。移送チャンバ106は、基板を持ち上げ、ロードロックチャンバ108と、バッチロードロックチャンバ109と、プロセスチャンバ102との間で移送するように動作可能なロボットアセンブリ(図示せず)を備える。2つ以上のプロセスチャンバ102が移送チャンバ106と結合される場合もある。
処理システム100では、ロボットアセンブリ(図示せず)は、基板を装填された基板キャリアプレート112を、スリットバルブ(図示せず)を通して、化学気相堆積を受ける単一プロセスチャンバ102の中に移送する。本明細書において記述される実施形態では、基板キャリアプレート112は、図2に示されるように離隔した関係において複数の基板を収容するように構成される。幾つか又は全ての堆積ステップが完了した後に、その上に基板を有する基板キャリアプレート112は、更に処理するために、ロボットアセンブリを介してプロセスチャンバ102から移送される。
図2は、本発明の実施形態による、プロセスチャンバ102の概略的な断面図である。プロセスチャンバ102は、チャンバ本体202と、前駆体ガス、キャリアガス、洗浄ガス及び/又はパージガスを送達するための化学物質送達モジュール203と、プラズマ源を備える遠隔プラズマシステム226と、基板キャリアプレート112を支持するための基板支持構造体214と、真空システムとを備える。基板キャリアプレート112をプロセスチャンバ102の内外に移送するために、チャンバ本体202内に封止可能な開口部211が設けられる。チャンバ本体202は処理容積208を包囲し、処理容積208はガス分配シャワーヘッド204、チャンバ本体202の一部、及び基板キャリアプレート112によって囲まれる。一実施形態では、ガス分配シャワーヘッド204の表面、及び処理容積208に面するチャンバ本体202の部分は、堆積副生成物から基材を保護するコーティング、それぞれ291、296を含む。
基板支持構造体214は、処理中に基板キャリアプレート112と接触し、かつ支持する支持ピンを有する複数の支持アームを含むことができる。幾つかの実施形態では、環状支持リング216を用いて、基板キャリアプレート112を支持する。他の実施形態では、環状支持リンク216は、プレート218に結合されるか、又はプレート218とともに用いられる場合があり、プレート218は、環状支持リング216間の領域において基板キャリアプレート112の裏側と接触する。基板支持構造体214は、基板支持構造体214を垂直方向に動かし、かつ又は回転させるアクチュエータ288に結合される。基板支持構造体214、環状支持リング216及び基板キャリアプレート112は、炭化ケイ素、グラファイト、石英、アルミナ、窒化アルミニウム及びそれらの組み合わせから作製することができる。幾つかの実施形態では、プレート218は、基板キャリアプレート112、及び基板キャリアプレート112上に配置される基板240を伝導によって加熱し、それらの温度を制御するための加熱素子223(例えば、抵抗加熱素子)を備える。熱電対又は高温計のような1つ又は複数のセンサ(図示せず)を用いて、基板キャリアプレート112の温度及び/又は基板240の温度を監視することができる。環状支持リング216が用いられる実施形態では、1つ又は複数の高温計を配置して、基板キャリアプレート112の裏側の温度を感知することができる。プレート218が用いられる実施形態では、1つ又は複数の熱電対を基板支持構造体214及び/又はプレート218に結合して、処理中の基板支持構造体214の温度、プレート218の温度及び/又は基板キャリアプレート112の裏側の温度を監視することができる。
ガス分配シャワーヘッドアセンブリ204は、ダブルマニホールドシャワーヘッドとして構成され(例えば、第1の前駆体又は第1のプロセス混合ガスを処理容積208に送達するために第1の処理ガス注入口259を介して化学物質送達モジュール203と結合される第1の処理ガスマニホールド204A、及び第2の前駆体又は第2のプロセス混合ガスを処理容積208に送達するための第2の処理ガスマニホールド204B)、それにより、2つの異なるガス流を、シャワーヘッド内で互いに混合することなく、シャワーヘッドによって分配できるようになる。第1の処理ガスマニホールド204Aは、第1の処理ガスマニホールド204Aにわたって配置されるブロッカプレート255(複数のオリフィス257を有する)によって2つのサブマニホールド212A及び212Bに分岐する。第2の処理ガスマニホールド204Bは、第2の処理ガス注入口258を介して第2の前駆体又は第2のプロセス混合ガスを処理容積208に送達するために化学物質送達モジュール203と結合される。一実施形態では、化学物質送達モジュール203は、アンモニア(NH)又は他のMOCVD若しくはHVPE処理ガスのような、適切な窒素含有処理ガスを第2の処理ガスマニホールド204Bに送達するように構成される。第2の処理ガスマニホールド204Bは、ガス分配シャワーヘッドアセンブリ204の第1のマニホールド壁276によって、第1の処理ガスマニホールド204Aから分離される。
化学物質送達モジュール203は、プロセスチャンバ102に化学物質を送達する。反応性ガス(例えば、第1及び第2の前駆体ガス)、キャリアガス、パージガス及び洗浄ガスを、化学物質送達システムから供給ラインを通してプロセスチャンバ102の中に供給することができる。一実施形態では、ガスは供給ラインを通してガス混合ボックスの中に供給され、ガス混合ボックスにおいて、それらのガスは互いに混合され、ガス分配シャワーヘッドアセンブリ204に送達される。一実施形態では、化学物質送達モジュール203は、金属有機前駆体を第1の処理ガスマニホールド204A及び第2の処理ガスマニホールド204Bに送達するように構成される。一例では、金属有機前駆体は、適切なガリウム(Ga)前駆体(例えば、トリメチルガリウム(TMG)、トリエチルガリウム(TEG))、適切なアルミニウム前駆体(例えば、トリメチルアルミニウム(TMA))、又は適切なインジウム前駆体(例えば、トリメチルインジウム(TMIn)を含む。パージガス源282からのパージガス(例えば、窒素含有ガス)は、1つ又は複数のパージガスプレナム281(1つのみが示される)を通してガス分配シャワーヘッドアセンブリ204から、複数のオリフィス284を通してプロセスチャンバ102の中に分配することができる。その代わりに、又はそれに加えて、パージガスは、パージガス管283(1つのみが示される)によってプロセスチャンバ102に送達することができる。
ガス分配シャワーヘッドアセンブリ204は、ガス分配シャワーヘッドアセンブリ204の中に熱制御流体を流し、ガス分配シャワーヘッドアセンブリ204の温度を調節するのを助けるための温度制御システム(例えば、熱交換システム270と結合される温度制御チャネル204C)を更に備える。第2の処理ガスマニホールド204Bは、ガス分配シャワーヘッドアセンブリ204の第2のマニホールド壁277によって温度制御チャネル204Cから分離される。温度制御チャネル204Cは、ガス分配シャワーヘッドアセンブリ204の第3のマニホールド壁278によって、処理容積208から分離することができる。
プロセスチャンバ102は、処理容積208の下側容積210を含む、透明材料から形成される下側ドーム219を備える。したがって、処理容積208は、ガス分配シャワーヘッドアセンブリ204と下側ドーム219との間に収容される。プロセスチャンバ102から、排気チャネル、真空ポンプ207及び真空システムに結合される排気ポート209に排気ガスを導くために、排気リング220が用いられる。処理容積208への放射熱は、複数のランプ(例えば、反射体266を有する内側ランプ221A及び外側ランプ221B)によって与えることができる。
プロセスチャンバ102、及び排気通路のような包囲する構造体の壁の温度は、プロセスチャンバ102の壁内のチャネル(図示せず)を通して熱制御液体を循環させることによって更に制御することができる。所望の効果に応じて、熱制御液体を用いて、チャンバ本体202を加熱又は冷却することができる。例えば、熱い液体は、熱堆積プロセス中に均等な温度勾配を保持するのを助けることができるのに対して、冷たい液体を用いて、洗浄ガスの解離のためのインシトゥプラズマプロセス中にシステムから熱を除去するか、又はチャンバの壁上に堆積生成物が形成されるのを制限することができる。ランプ221A、221Bによって与えられる加熱、並びにガス分配シャワーヘッドアセンブリ204を通して熱交換システム270からの熱制御流体によって与えられる加熱又は冷却、及び/又は熱制御流体をチャンバ本体202の壁に送達することによる加熱又は冷却は、約500℃〜約1300℃、より具体的には、約700℃〜約1300℃の処理容積208内の処理温度を保持する。一実施形態では、プロセスチャンバ102の処理容積208において、約900℃〜約1,050℃、又はそれ以上の処理温度を生成するために、ランプ221A及び221Bへの入力電力は、約45kW〜約90kWである。一実施形態では、基板キャリアプレート112(図1)の裏側の温度を測定する、1つ又は複数の熱電対のようなセンサを用いることによって、処理温度が監視される。
ガス分配シャワーヘッドアセンブリ204の第3のマニホールド壁278は、基板支持構造体214に面する表面289を含む。処理中に、表面289、及びガス分配シャワーヘッドアセンブリ204の他の部分の温度が監視され、制御される。ガス分配シャワーヘッドアセンブリ204はステンレス鋼から作製され、表面289は約0.17の放射率係数を有する剥き出しのステンレス鋼である。一実施形態では、基板支持構造体214に面するガス分配シャワーヘッドアセンブリ204の表面289は、表面289の放射率を0.17より高い値に高めるために、粗面を含む。ビードブラスティングを用いて表面289を粗面化して初期放射率を高め、それにより、プロセスチャンバ102内の処理によって引き起こされる放射率の変化を制限することができる。したがって、表面289の粗面化は、ガス分配シャワーヘッドアセンブリ204の基材の反射率を下げ、熱吸収を安定させる。
一実施形態では、表面289をビードブラスティングによって処理し、約80マイクロインチ(μ−inch)〜約120μ−inchの平均表面粗さ(Ra)を有する粗面を設ける。表面289の粗面化は、非粗面に比べて、表面289の初期放射率を高め、腐食又は酸化によって引き起こされる放射率変化を低減し、それにより、プロセスドリフトを低減する。一実施形態では、#80グリットサイズを用いて粗面を設ける。所望のグリットサイズを用いて所望のRaを生成することがわかっている圧力において、ビードブラスティングを適用することができる。一態様では、ビードは、表面289内の任意の開口部に入ることができるようになる。一態様では、ガス分配シャワーヘッドアセンブリ204内の任意の開口部の直径は、グリットサイズよりも大きく、詳細には、#80グリットサイズの寸法よりも大きい。ガス分配シャワーヘッドアセンブリ204を真空ポンプに結合することによって、又はガス分配シャワーヘッドアセンブリ204を真空環境内に配置することによって、それらの開口部を洗浄し、ガス分配シャワーヘッドアセンブリ204内の開口部に入っている場合がある任意のグリットを除去し、排出することができる。別の態様では、約80psiの圧力においてガス分配シャワーヘッドアセンブリ204内の開口部の中にパージガスを送達し、任意のビード又はグリットが開口部に入るのを防ぐか、又は最小限に抑えることができる。
別の実施形態では、基板支持構造体214に面するガス分配シャワーヘッドアセンブリ204の表面289は、コーティング291を含む。さらに、チャンバ本体202の内面295のような、処理容積208に近接しているプロセスチャンバ102の他の表面は、コーティング296を含むことができる。一実施形態では、ガス分配シャワーヘッドアセンブリ204及びチャンバ本体202は、ステンレス鋼材料、例えば、316Lステンレス鋼のような、導電性材料を含む。コーティング291、296は、堆積及び洗浄プロセスにおいて用いられるプロセス化学物質に適合し、かつMOCVD及びHVPEプロセスにおいて用いられる極端な温度適用に適合する材料を含む。基材の熱吸収を安定させて繰返し処理を容易にするために、コーティング291、296は、チャンバ構成要素の放射率を確立し、表面289及び/又は295並びにそれらの基材の放射率変動を打ち消すか、又は安定させる。一実施形態では、コーティング291、296は、約0.8〜約0.85の放射率係数を含む。
コーティング291、296は、表面289、295上に堆積されるセラミック材料を含むことができる。ステンレス鋼のような金属表面にそのようなコーティングが被着されるときに、堆積及び洗浄プロセス後の構成要素の表面の放射率が、清浄な未使用の構成要素表面の放射率にかなり近いことがわかった。一態様では、コーティング291は、アルミナ又は酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、イットリウム(Y)、酸化イットリウム(Y)、酸化クロム(Cr)、炭化ケイ素(SiC)、それらの組み合わせ、又はそれらの誘導体を含む。コーティング291、296は、プラズマ溶射のような、溶射法を利用してそれぞれの表面上に堆積することができる。表面289、295上に形成されるコーティング291、296は、約50ミクロン(μm)〜約200μmの厚みを有することができる。コーティング291、296は多孔性にすることができる。一実施形態では、コーティング291、296は、光学法を用いて、約0.5%〜約10%、例えば、約8%〜約10%のような、約10%未満の多孔率を含む。別の実施形態では、コーティング291、296は、アルキメデス法を用いて、約0.5%〜約15%、例えば、約10%〜約15%のような、約15%未満の多孔率を含む。コーティング291、296は親水性又は湿潤性とすることができ、約0度〜約90度のような、約90度未満の接触角を含む。コーティング291、296は、プラズマ溶射後に白色とすることができ、数回の堆積及び/又は洗浄サイクル後であっても、概ね白色のままである。さらに、放射率は、初回の使用と洗浄プロセスとの間で概ね安定している。例えば、放射率は初回使用時に約0.8とすることができ、インシトゥ洗浄前に約0.81とすることができる。したがって、コーティング291、296の放射率デルタは、新品の清浄な表面、又は使用済みで洗浄後の表面と比べて、約0.8〜約0.85である。コーティング291、296によって与えられる放射率デルタによれば、ランプ221A、221Bに加えられる補償電力はごくわずかであり、一実施形態では、約1000℃の処理容積208内温度及び/又は約1,000℃の基板温度を与えるために用いられる約80,000ワット〜約90,000ワットの電力設定点において約100ワット未満である。ガス分配シャワーヘッドアセンブリ204の材料とコーティング291、296との間の熱膨張率が一致しない場合があるが、コーティング291、296の多孔性によって、コーティング291、296内の応力が緩和される。したがって、上記のような多孔率値を有するコーティング291、296を設けることによって、コーティング291、296の弾性が増し、それにより、プロセスチャンバ102の加熱及び冷却中、特に、プロセスチャンバ102が始動時に室温から加熱されるか、又は点検修理のために室温まで冷却されるときに、コーティング291、296に亀裂が生じるのを防ぐ。
プラズマ溶射プロセスを大気圧においてエクスシトゥで実行してコーティング291、296を形成する。プラズマ溶射プロセスは、コーティング291及び296の接着を高めるように表面289、295を下処理することを含む。一実施形態では、表面289、295をビードブラスティングによって処理して粗面を生成し、コーティング291、296の接着を助長する。一態様では、ビードは、約80マイクロインチ(μ−inch)〜約120μ−inchのRaを有する粗面を形成するために用いられる#80グリットサイズ酸化アルミニウム粒子である。ビードブラスティング中にガス分配シャワーヘッドアセンブリ204の中にパージガスを送達して、任意の粒子が表面289上に形成された任意の開口部に入るのを防ぐことができる。一実施形態では、粗面化後に、セラミック粉末からなるプラズマ溶射を表面289、295上に堆積することができる。一実施形態では、セラミック粉末は99.5%の純度を有する。別の実施形態では、セラミック粉末は酸化アルミニウム(Al)である。或る圧力においてプラズマ溶射を適用して、所望の粉末サイズを用いて所望のRaを生成することができる。一態様では、目詰まりを防ぐために、セラミック粉末のプラズマが表面289、295に加えられ、表面289、295内の任意の開口部が覆われるか、又は充填される。別の態様では、セラミック粉末のプラズマは表面289、295内の任意の開口部に少なくとも部分的に入ることができるようになる。一実施形態では、プラズマ溶射中にガス分配シャワーヘッドアセンブリ204の中に約80psiの圧力でパージガスを送達し、表面289上に形成された任意の開口部に溶射が入るのを防ぐ。一態様では、表面289内の任意の開口部が表面289上のコーティング291の厚みに等しい長さだけ延長されるように、プラズマ溶射が表面289に加えられる。別の実施形態では、ガス分配シャワーヘッドアセンブリ204の中に約80psi未満の圧力でパージガスを送達し、それにより、溶射の一部が表面289上に形成された開口部に入ることができるようにする。更に別の実施形態では、プラズマ溶射が開口部を覆うことができるようにする。この実施形態では、コーティングの被着後に、所望により、再び開口され、元のサイズになるように開口部を加工し直すことができる。
コーティング291、296は、所望により除去することもでき、それにより、表面289、295の基材を一新することができる。コーティング291、296はビードブラスティングによって除去することができるか、又は化学物質を用いて表面289と295との間の界面を侵蝕し、コーティングと基材との間の結合を破壊することができる。表面289、295が洗浄された後に、上記のコーティングプロセスによって、洗浄された表面289、295にコーティング291、296を再び被着して、プロセスチャンバ102の中に再び導入することができる。
図3は、図2に示される細部Aの拡大図であり、ガス分配シャワーヘッドアセンブリ204上のコーティング291の分布を更に示す。ガス分配シャワーヘッドアセンブリ204は、第1の主面305A及び第2の主面305Bを有する本体300を備える。図2及び図3を参照すると、一実施形態では、金属有機前駆体のような、第1の前駆体又は第1の処理混合ガスが、複数の内側ガスコンジット246によって、第1の処理ガスマニホールド204Aから、第2の処理ガスマニホールド204B及び温度制御チャネル204Cを通って処理容積208の中に送達される。内側ガスコンジット246は、ガス分配シャワーヘッドアセンブリ204の第1のマニホールド壁276、第2のマニホールド壁277及び第3のマニホールド壁278を貫通して配置される位置合わせされた穴内に位置する、ステンレス鋼から形成される円筒管とすることができる。内側ガスコンジット246はそれぞれ、第2の主面305B内に開口部310Aを含む。各開口部310Aは、表面289を貫通して形成され、流路Aに沿って処理容積208に第1の前駆体を送達する。一実施形態では、内側ガスコンジット246はそれぞれ、ろう付けのような適切な手段によって、ガス分配シャワーヘッドアセンブリ204の第1のマニホールド壁276に取り付けられる。
一実施形態では、窒素前駆体のような第2の前駆体又は第2の処理混合ガスが、複数の外側ガスコンジット245によって、第2の処理ガスマニホールド204Bから温度制御チャネル204Cを通って処理容積208の中に送達される。外側ガスコンジット245は、ステンレス鋼から形成される円筒管とすることができる。外側ガスコンジットはそれぞれ、個々の内側ガスコンジット246の周りに同心円状に位置することができる。各外側ガスコンジット245は、第2の主面305B内に開口部310Bを含む。各開口部310Bは、表面289を貫通して形成され、流路Aに沿って処理容積208に第2の前駆体を送達する。外側ガスコンジット245は、ガス分配シャワーヘッドアセンブリ204の第2のマニホールド壁277及び第3のマニホールド壁278を貫通して配置される位置合わせされた穴内に位置する。一実施形態では、外側ガスコンジット245はそれぞれ、ろう付けのような適切な手段によって、ガス分配シャワーヘッドアセンブリ204の第2のマニホールド壁277に取り付けられる。入力ラインによって送達される前駆体から遠隔プラズマシステム226において生成されたプラズマ種が、コンジット204Dを通して流される。プラズマ種は、ガス分配シャワーヘッドアセンブリ204を通って流路Aにおいて処理容積208に分散される。プラズマ種は、ガス分配シャワーヘッドアセンブリ204の表面289を貫通して形成された開口部310Cを通って流れる。
一実施形態では、各開口部310A〜310Cは内径D〜Dのような直径を含み、コーティング291は、直径D〜Dを減少させることなく、開口部310A〜310Cを延長するように表面289に被着される。一実施形態では、内径D〜Dは約0.6mmである。一態様では、開口部310A〜310Cは、直径D〜Dを全く減少させることなく、コーティング291の厚みに等しい長さだけ延長される。別の実施形態では、コーティング291は、内部コーティング315として示されるように、開口部310A〜310Cの一部を少なくとも部分的に覆い、内径D〜Dに入ることができるようになる。この実施形態では、開口部310A〜310Cは、プラズマ溶射前に覆われないか、又は充填されない。したがって、コーティング291は、開口部310A〜310Cのサイズを小さくできるようになる。一実施形態では、コーティングの厚み292は、表面289及び内径D〜Dにおいて、約50μm〜約200μmである。一態様では、厚み292は、各開口部310A〜310Cの開口面積パーセンテージの量と一致するように選択される。一例では、コーティング291の厚み292は、各開口部310A〜310Cの一部を覆い、開口部直径D〜Dの少なくとも約80%超を残すように選択される。一実施形態では、コーティング291は、表面289からの約50μm〜約200μmの深さまで開口部310A〜310Cに入ることができるようになる。開口部284(図2)は図示されないが、開口部310A〜310Cを参照しながら先に説明されたように、コーティング291によって少なくとも部分的に覆われる場合がある。
一実施形態では、ランプ221A及び221Bからの一次熱320は、基板キャリアプレート112及び基板240によって吸収される。基板キャリアプレート112及び基板240からの二次熱325は、処理容積208の中に放射される。二次熱325の一部は、ガス分配シャワーヘッドアセンブリ204の下側本体330によって吸収され、その場所では、コーティング291が表面289の反射率を著しく下げる。二次熱325の大部分はコーティング291の表面293によって吸収され、そのコーティングは二次熱325からガス分配シャワーヘッドアセンブリ204を断熱する役割を果たす。コーティング291は、処理中に大きく劣化又は変色することはなく、ガス分配シャワーヘッドアセンブリ204の下側本体330から処理容積208の中に概ね均一な放射エネルギー335の放出を与える。図示されないが、基板キャリアプレート112及び基板240からの二次又は放射熱325は、チャンバ本体202(図2)によって吸収され、チャンバ本体202から処理容積208への放射エネルギー335は概ね均一であり、それはチャンバ本体202の内面295上のコーティング291によって助長される。
幾つかの実施形態では、前駆体ガスに暴露されるガス分配シャワーヘッドアセンブリ204の内面上での前駆体吸収を防ぐか、又は低減するために、これらの表面上にコーティング291を被着することができる。例えば、図2を参照すると、コンジット204D、第1の処理ガス注入口259、第2の処理ガス注入口258、第1の処理ガスマニホールド204A、第2の処理ガスマニホールド204B、ブロッカプレート255及びオリフィス257の内面、並びに内側ガスコンジット246の内面のような、前駆体の伝導経路内の幾つか又は全ての表面にコーティング291を被着することができる。コーティング291は、結果として不均一な処理及び薄膜成長につながる恐れがある、ガス分配シャワーヘッドアセンブリ204の内面上での前駆体吸収又は付着を防ぐか、又は著しく低減する。例えば、トリメチルインジウム(TMIn)及びビス(シクロペンタジエニル)マグネシウム(CpMg)のような前駆体は、金属チャンバ表面に容易に接着する傾向がある。したがって、処理実行時に、前駆体材料の一部が、ガス分配シャワーヘッドアセンブリ204の内面に接着して、基板240に達しない場合があり、基板への前駆体の送達が非効率的であることに起因して、結果として不均一な堆積及び/又は不均一な薄膜成長が生じる恐れがある。何度も処理を実行すると、ガス分配シャワーヘッドアセンブリ204の内面に吸収された前駆体が「メモリ効果」を引き起こす場合があり、その場合、吸収された前駆体材料がその表面から意図することなく分離し、かつ/又は意図しない時間間隔で他の前駆体ガスによって基板240に搬送される。前駆体が意図することなく分離すると、分離した前駆体を所望の時間間隔外に基板240に導入することによって、かつ/又は分離した前駆体を付加的な、又は余分な反応性ガスとして導入することによって、かつ/又は分離した前駆体を薄膜内に粒子として導入することによって、薄膜品質に悪影響を及ぼす場合がある。前駆体ガスに暴露されるガス分配シャワーヘッドアセンブリ204の内面にコーティング291が被着される実施形態は、金属表面への前駆体の接着を最小限に抑えることによって、メモリ効果を防ぐか、又は低減する。したがって、ガス分配シャワーヘッドアセンブリ204の表面上での前駆体吸収を低減することは、効率的なガス送達を保持し、かつより良好な流量制御及びより明確なオン/オフ移行を提供するので、結果として、薄膜品質が改善され、望ましい多量子井戸が形成され、接合部におけるドープ領域内の鮮鋭度が改善される。
図4は、本発明の一実施形態による、図2からのシャワーヘッドアセンブリ204の概略的な部分底面図である。図示されるように、第2の処理ガスマニホールド204Bから第2のガスを送達する外側ガスコンジット245及び第1の処理ガスマニホールド204Aから第1のガスを送達する内側ガスコンジット246を備える同心管構成が、はるかに近接し、かつより均一なパターンに配置される。一実施形態では、同心管は、六方最密配列に構成される。結果として、第1の処理ガスマニホールド204A及び第2の処理ガスマニホールド204Bから送達される、第1の処理ガス及び第2の処理ガスはそれぞれ、処理容積208内に配置される基板240にわたってより均等に送達され、結果として、はるかに良好な堆積均一性が得られる。
要約すると、本発明の実施形態は、プロセスチャンバ102の処理容積208に処理ガスを別々に送達するための同心管アセンブリを有するガス分配シャワーヘッドアセンブリ204を含む。ガス分配シャワーヘッドアセンブリ204、及びプロセスチャンバ102の他の部分は、その上に配置される高放射率コーティング291、296を含み、処理容積208に近接する構成要素の放射率変動を低減することができる。コーティング291、296は、新品の構成要素表面及び/又は洗浄された構成要素表面と比べて、低い放射率デルタ、すなわち、低い処理内若しくは実行間放射率変化を与え、それにより、処理容積208内の熱の放射を安定させるのを助長する。したがって、本明細書において記述される実施形態によれば、処理容積208を加熱するための電力設定点が、より安定する。これは、プロセスパラメータの調整、及び/又はチャンバ構成要素の頻繁な洗浄の実行を必要とすることなく、ウエハ間再現性を改善する。
コーティング291を使用することによって、プロセスチャンバ102のような、LED処理チャンバの処理容積208に加えられ、そこから除去される熱は、従来のプロセスチャンバ設計と比べて、より容易に保持することができるとわかった。コーティングされたチャンバ構成要素は、結果として放射率変動を低減するので、一般的に、ウエハ間及びウエハ内温度均一性の結果を改善することにつながり、それゆえ、LEDデバイス性能の再現性を改善することにつながる。本明細書において記述されるようなガス分配シャワーヘッドアセンブリ204を使用することによって、所望の基板処理温度を保持するために1つ又は複数の基板加熱源、例えば、加熱素子223からの伝導加熱、又はランプ221A、221Bからの放射加熱によって基板に与えられる熱エネルギーのような入力エネルギーが、1つ又は複数の加熱源に加えられる電力の約0.5%未満の変化、例えば、約0.5%〜約0.2%未満、例えば、約0.12%未満の変化のような相対的に小さな範囲にとどまり、所望の設定点温度を保持することがわかった。例えば、約1,000℃の設定点温度を保持するために、ランプ221A及び221Bのような1つ又は複数の基板加熱源に加えられる電力は、100ワット未満だけ変化する。一例では、熱交換システム270の中に流れる流体による熱除去が一定に保持されている場合、約1,000℃の温度設定点を保持するために、基板処理温度を達成するために用いられる、1つ又は複数の基板加熱源によって基板に与えられる熱エネルギーは、100ワット未満だけ変化する。別の例では、約1,000℃の基板処理温度を達成するために用いられる約80,000ワットの電力設定点を保持するために、1つ又は複数の基板加熱源によって基板に与えられる熱エネルギーは100ワット未満だけ変化する。本明細書において記述される実施形態によれば、放射率ドリフトを補償する、ランプ221A及び221Bに加えられる電力の変化、及び/又は熱制御流体の温度又は流量の変化は、大きく低減される。
一実施形態では、処理中に用いられる基板キャリアプレート112(図1)は、約100,000mmのような約95,000mm〜103,000mmの表面積を含み、設定点処理温度を達成するために、この面積に基づいて、ランプ221A及び221Bへの入力電力が変更される場合がある。一実施形態では、基板キャリアプレート112の裏側において測定された約900℃の処理温度を達成するために、ランプ221A及び221Bへの入力電力は約45kWである。別の実施形態では、基板キャリアプレート112の裏側において測定された約1,050℃の処理温度を達成するために、ランプ221A及び221Bへの入力電力は約90kWである。したがって、基板キャリアプレート112の表面積に基づいて、ランプ221A及び221Bへの入力電力の電力密度は約0.45W/mm〜約0.9W/mmとすることができる。
別の実施形態では、処理中に用いられるガス分配シャワーヘッドアセンブリ204は、約200,000mmのような約100,000mm〜250,000mmの表面積(すなわち、表面289の面積)を含み、設定点処理温度を達成するために、この面積に基づいて、ランプ221A及び221Bへの入力電力が変更される場合がある。一実施形態では、基板キャリアプレート112の裏側において測定された約900℃の処理温度を達成するために、ランプ221A及び221Bへの入力電力は約45kWである。別の実施形態では、基板キャリアプレート112の裏側において測定された約1,050℃の処理温度を達成するために、ランプ221A及び221Bへの入力電力は約90kWである。したがって、ガス分配シャワーヘッドアセンブリ204の表面積に基づいて、ランプ221A及び221Bへの入力電力の電力密度は約0.225W/mm〜約0.45W/mmとすることができる。
一例では、16の堆積プロセスサイクルからのデータが取り込まれ、16の堆積及び洗浄サイクルにわたってランプ221A及び221Bに送達された電力は概ね安定したままであった。コーティングされないガス分配シャワーヘッドアセンブリの場合に80,000ワットのランプ出力電力において8,000ワットのランプ電力ドリフトがあるのに比べて、この例では、その上にコーティング291を有するガス分配シャワーヘッドアセンブリ204は、同じランプ出力電力において100ワットのドリフトを受けた。したがって、16の堆積プロセスサイクルにわたって、その上にコーティング291を有するガス分配シャワーヘッドアセンブリ204は、基板が配置された処理環境の熱制御において80倍の改善をもたらした。この例では、堆積及び洗浄プロセス中に熱交換システム270及び温度制御チャネル204Cを通って送達される熱制御流体の温度を監視して、ガス分配シャワーヘッドアセンブリ204から取り出された熱の変動を特定した。コーティング291を通してガス分配シャワーヘッドアセンブリ204から除去されたエネルギーは、堆積中に約15.3kWであった。1つ又は複数の基板の処理温度がプロセス実行間で数度(例えば、±2.5℃)よりも大きくドリフトする場合には、LEDデバイス歩留りが著しく変化することがわかっており、そのことは当業者には理解されよう。LEDデバイス歩留りの問題は、少なくとも1つには、形成されたLEDデバイスに創出された薄膜厚及び光出力に関してプロセス実行間でばらつきが生じることに起因して発生する。それゆえ、本明細書において記述される実施形態は、実行間の基板処理温度変動又はドリフトを防ぐか、許容範囲内(すなわち、±2.5℃未満)に抑え、概ね同じ薄膜厚及び光出力を有するLEDデバイスを再現可能に製造する。本明細書において記述されるコーティング291を使用することによって、実行間の平均基板処理温度範囲は、約1,000℃のような800℃〜1,300℃の所望の設定点処理温度において約±2℃未満であることがわかった。したがって、本明細書において記述されるコーティング291を用いることによって、プロセス実行間薄膜厚変動及びウエハ内薄膜厚変動が最小限に抑えられ、概ね同じ光出力特性を有するLEDデバイスが製造される。
その上にコーティング291を有するガス分配シャワーヘッドアセンブリ204の試験によって、洗浄間隔が長くなり、薄膜厚がドリフトして仕様から外れるまでのプロセス実行回数が増加することがわかった。例えば、その上にコーティング291を有するガス分配シャワーヘッドアセンブリ204は、仕様通りに薄膜厚を保持しながら、80回のプロセス実行にわたって用いられた。これは、コーティングを用いないガス分配シャワーヘッドが、10回のプロセス実行後に、薄膜厚がドリフトして仕様から外れたのとは対照的である。それゆえ、一態様では、本明細書において記述されるような、その上にコーティング291を有するガス分配シャワーヘッドアセンブリ204は、コーティングを用いないシャワーヘッドを用いる場合の約10回と比べて、インシトゥ洗浄前に約80回までプロセス実行回数を増やした。堆積プロセスによっては、インシトゥ洗浄が必要とされる前に、プロセス実行の回数を約300回まで増やせることがわかった。したがって、本明細書において記述されるようなガス分配シャワーヘッドアセンブリ204は、チャンバのダウンタイムを最小限に抑えることによって、スループットを高める。その上にコーティング291を有するガス分配シャワーヘッドアセンブリ204の試験によって、処理容積208に隣接する表面において温度が下がること、例えば、基板支持構造体214の表面において温度が約40℃下がることも示された。基板支持構造体の温度の低下は、コーティング291の表面の放射率が高くなったことに起因し、それゆえ、コーティング291が、基板支持構造体214及び基板からガス分配シャワーヘッドアセンブリ204への放射伝熱を改善したと考えられる。したがって、基板支持構造体214の熱損失の結果として、ランプ221A及び221Bに同じ電力入力を用いるガス分配シャワーヘッドアセンブリ204の温度が低下する。
さらに、ガス分配シャワーヘッドアセンブリ204上に配置されるコーティング291は、本体300を、ランプ221A及び221Bから送達される熱から断熱する傾向がある。先に言及されたように、コーティング291の放射率が高いことに起因して、ガス分配シャワーヘッドアセンブリ204は、コーティングされないシャワーヘッドアセンブリよりも多くの熱エネルギーを吸収することになる。それゆえ、コーティング291の高い放射率及び断熱特性に起因して、処理容積208に隣接するコーティング291の表面293は、コーティングされない金属シャワーヘッドよりも高い表面温度を有することになり、それにより、同じプロセスを実行するコーティングされないシャワーヘッドと比べて、プロセス実行間で実行されるインシトゥ洗浄プロセスを、より効率的に、かつ効果的にすることができる。
これまでの説明は本発明の実施形態を対象にしているが、本発明の基本的な範囲から逸脱することなく、本発明の他の実施形態及び更なる実施形態を考案することができ、本発明の範囲は以下の特許請求の範囲によって決定される。

Claims (14)

  1. 本体に形成された第1のガスチャネルに流体連通する複数の第1のガスコンジット、及び、第2のガスチャネルに流体連通する複数の第2のガスコンジットを有する本体であって、各第2のガスコンジットは前記複数の第1のガスコンジットから流体分離され、前記複数の第1及び第2のガスコンジットの各々は、本体を貫通して本体の主面で終端する開口部に至っている、本体と、
    前記第1のガスチャネル内に配置される穿孔ブロッカプレートと、
    理表面上に配置されるコーティングと
    を備え、前記コーティングは、0ミクロン〜00ミクロン厚であり、
    少なくとも.8の放射率係数、
    80マイクロインチ〜20マイクロインチの平均表面粗さ、及び
    5%以下の多孔率
    を含む、シャワーヘッド。
  2. 前記コーティングは白色である、請求項1に記載のシャワーヘッド。
  3. 前記コーティングは親水性である、請求項1に記載のシャワーヘッド。
  4. 前記コーティングは度〜0度の接触角を含む、請求項3に記載のシャワーヘッド。
  5. 前記本体は0マイクロインチ〜20マイクロインチの平均表面粗さを有する金属材料を含む、請求項1に記載のシャワーヘッド。
  6. 前記金属材料はステンレス鋼を含む、請求項5に記載のシャワーヘッド。
  7. 前記処理表面は、0マイクロインチ〜20マイクロインチの平均表面粗さを含む、請求項1に記載のシャワーヘッド。
  8. 内部容積を有するチャンバ本体であって、前記内部容積は、前記チャンバ本体の内面と、ガス分配シャワーヘッドの内面と、ドーム構造体の内面との間に収容される、チャンバ本体と、
    前記ガス分配シャワーヘッドと向かい合う関係で前記内部容積内に配置される基板支持構造体と、
    前記ドーム構造体を通して光を導く1つ又は複数のランプアセンブリと
    を備え、前記ガス分配シャワーヘッドは、
    複数のガスチャネルが形成された本体、
    前記複数のガスチャネルのひとつに配置される穿孔ブロッカプレート、
    前記本体内に配置される複数のコンジットであって、その少なくとも一部が前記複数のガスチャネルのひとつに流体結合し、前記内部容積に1つ又は複数のガスを送達するために前記本体の前記内面まで延在する開口部を有する、複数のコンジット、及び
    前記ガス分配シャワーヘッドの前記内面上に配置されるコーティングであって、少なくとも.8の放射率係数を有する、コーティング
    を備える、堆積チャンバ。
  9. 前記チャンバ本体の前記内面はセラミックコーティングを含む、請求項8に記載のチャンバ。
  10. 前記コーティングは、80マイクロインチ〜20マイクロインチの平均表面粗さを有する、請求項8に記載のチャンバ。
  11. 前記コーティングはセラミック材料を含む、請求項8に記載のチャンバ。
  12. 前記本体は、0マイクロインチ〜20マイクロインチの平均表面粗さを有する金属材料を含む、請求項8に記載のチャンバ。
  13. 前記金属材料はステンレス鋼を含む、請求項12に記載のチャンバ。
  14. 前記コーティングは0ミクロン〜00ミクロンの厚みを含む、請求項8に記載のチャンバ。
JP2013525905A 2010-08-27 2011-06-09 高放射率表面を有するガス分配シャワーヘッド Active JP5911491B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37785010P 2010-08-27 2010-08-27
US61/377,850 2010-08-27
US13/154,060 2011-06-06
US13/154,060 US20120052216A1 (en) 2010-08-27 2011-06-06 Gas distribution showerhead with high emissivity surface
PCT/US2011/039857 WO2012027009A2 (en) 2010-08-27 2011-06-09 Gas distribution showerhead with high emissivity surface

Publications (2)

Publication Number Publication Date
JP2013536590A JP2013536590A (ja) 2013-09-19
JP5911491B2 true JP5911491B2 (ja) 2016-04-27

Family

ID=45697624

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013525905A Active JP5911491B2 (ja) 2010-08-27 2011-06-09 高放射率表面を有するガス分配シャワーヘッド

Country Status (6)

Country Link
US (1) US20120052216A1 (ja)
JP (1) JP5911491B2 (ja)
KR (1) KR101930527B1 (ja)
CN (1) CN103069543B (ja)
TW (1) TWI570258B (ja)
WO (1) WO2012027009A2 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
CN109023303A (zh) 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6670625B2 (ja) * 2015-07-10 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
JP6242933B2 (ja) 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
CN107275250A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20170024592A (ko) * 2017-02-15 2017-03-07 주식회사 펨빅스 가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
DE202017104061U1 (de) 2017-07-07 2018-10-09 Aixtron Se Beschichtungseinrichtung mit beschichteter Sendespule
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
US10787739B2 (en) * 2018-10-29 2020-09-29 Applied Materials, Inc. Spatial wafer processing with improved temperature uniformity
CN112575308B (zh) * 2019-09-29 2023-03-24 宝山钢铁股份有限公司 一种能在真空下带钢高效镀膜的真空镀膜装置
US20240124978A1 (en) * 2022-10-13 2024-04-18 Eugenus, Inc. Gas diffuser plate coated with emissivity-controlling thin film and methods of forming same

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
JPH08144060A (ja) * 1994-11-25 1996-06-04 Ulvac Japan Ltd プラズマcvd装置
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2004002101A (ja) * 2002-05-31 2004-01-08 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
KR20040058819A (ko) * 2002-12-27 2004-07-05 삼성전자주식회사 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN101147244B (zh) * 2005-07-28 2010-05-19 东京毅力科创株式会社 基板处理方法和基板处理装置
JP2007081218A (ja) * 2005-09-15 2007-03-29 Tosoh Corp 真空装置用部材
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP5140957B2 (ja) * 2005-12-27 2013-02-13 東京エレクトロン株式会社 成膜装置
JP2007180417A (ja) * 2005-12-28 2007-07-12 Siltronic Ag 半導体基板製造方法
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101336363B1 (ko) * 2009-01-29 2013-12-04 도쿄엘렉트론가부시키가이샤 성막 장치 및 가스 토출 부재
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control

Also Published As

Publication number Publication date
TWI570258B (zh) 2017-02-11
CN103069543A (zh) 2013-04-24
TW201209214A (en) 2012-03-01
US20120052216A1 (en) 2012-03-01
WO2012027009A3 (en) 2012-04-19
WO2012027009A4 (en) 2012-06-21
KR20130093113A (ko) 2013-08-21
KR101930527B1 (ko) 2018-12-18
JP2013536590A (ja) 2013-09-19
WO2012027009A2 (en) 2012-03-01
CN103069543B (zh) 2016-06-15

Similar Documents

Publication Publication Date Title
JP5911491B2 (ja) 高放射率表面を有するガス分配シャワーヘッド
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US8980379B2 (en) Gas distribution showerhead and method of cleaning
TWI465294B (zh) 具有多氣體直通道之噴頭
KR101232800B1 (ko) Iii족/v족 화합물을 증착시키는 방법
US7942968B2 (en) Catalyst enhanced chemical vapor deposition apparatus
TWI513852B (zh) 化學氣相沉積設備
KR101180214B1 (ko) 전구체 공급원을 구비한 샤우어헤드
US20090095222A1 (en) Multi-gas spiral channel showerhead
US20100215854A1 (en) Hvpe showerhead design
WO2009052002A1 (en) Multi-gas concentric injection showerhead
TW201218301A (en) Apparatus having improved substrate temperature uniformity using direct heating methods
KR20220116517A (ko) Ald 전구체 전달을 위한 샤워헤드
WO2009055245A1 (en) Showerhead design with precursor pre-mixing
TW201406987A (zh) 具有熱遮罩之化學氣相沉積裝置
KR20140062360A (ko) 화학 기상 증착 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150407

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150707

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160301

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160329

R150 Certificate of patent or registration of utility model

Ref document number: 5911491

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250