KR20130093113A - 고 복사율 표면을 갖는 가스 분배 샤워헤드 - Google Patents

고 복사율 표면을 갖는 가스 분배 샤워헤드 Download PDF

Info

Publication number
KR20130093113A
KR20130093113A KR1020137006943A KR20137006943A KR20130093113A KR 20130093113 A KR20130093113 A KR 20130093113A KR 1020137006943 A KR1020137006943 A KR 1020137006943A KR 20137006943 A KR20137006943 A KR 20137006943A KR 20130093113 A KR20130093113 A KR 20130093113A
Authority
KR
South Korea
Prior art keywords
coating
gas distribution
chamber
processing
distribution showerhead
Prior art date
Application number
KR1020137006943A
Other languages
English (en)
Other versions
KR101930527B1 (ko
Inventor
히로지 하나와
캬윈 마웅
후아 충
지에 쿠이
데이비드 보우어
웨이-용 휴
리앙-유 첸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130093113A publication Critical patent/KR20130093113A/ko
Application granted granted Critical
Publication of KR101930527B1 publication Critical patent/KR101930527B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 실시예들은 화학적 기상 증착 프로세스들에 사용된 프로세스 챔버 부품들에 적용된 표면 코팅들을 위한 방법들 및 장치를 제공한다. 일 실시예에 있어서, 상기 장치는 본체, 상기 본체를 통해 연장하는 복수의 도관들, 및 프로세싱 표면상에 배치되는 코팅을 포함하며, 상기 복수의 도관들 각각은 본체의 프로세싱 표면으로 연장하는 개구를 가지며, 상기 코팅은 약 50 미크론 내지 약 200 미크론의 두게를 가지며 또한 약 0.8 의 복사율 계수, 약 180 마이크로 인치 내지 약 220 마이크로 인치의 평균 표면 거칠기, 및 약 15% 이하의 다공도를 갖는 샤워헤드 장치를 제공한다.

Description

고 복사율 표면을 갖는 가스 분배 샤워헤드{GAS DISTRIBUTION SHOWERHEAD WITH HIGH EMISSIVITY SURFACE}
본 발명의 실시예들은 기판상에 물질들의 화학적 기상 증착(CVD)을 위한 방법들 및 장치에 관한 것으로서, 또한 특히 금속 유기 화학적 기상 증착(MOCVD) 및/또는 수소화물 증기 기상 에피택시(HVPE)를 위해 사용된 것과 같은, 박막 증착 챔버들에 사용하기 위해 고 복사율(emissivity)에 의한 표면 코팅의 형성 및 샤워헤드들의 구조물 및 코팅을 포함하는 프로세스 챔버 부품들을 위한 표면 처리들에 관한 것이다.
화학적 기상 증착(CVD) 챔버들은 전형적으로 반도체 디바이스들의 제조에 사용된다. CVD 챔버들은 단일의 기판들 또는 웨이퍼들상에 하나 또는 둘 이상의 증착 프로세스들을 수행하거나, 또는 기판들 또는 웨이퍼들의 배치(batch)상에 하나 또는 둘 이상의 증착 프로세스들을 수행하도록 채택될 수 있다. 가스 분배 샤워헤드는 기판(들)상에 박막들과 같은 물질들을 증착하기 위해 챔버에 위치된 기판 또는 기판들에 가까운, 통상적으로는 위에 있는, 프로세싱 지역으로 전구체(precursor)들을 전달한다. 열 CVD 증착 프로세스들의 프로세스 온도는 막(film) 형성률 및 막 특성들에 영향을 끼친다. 기판의 전체 표면 또는 기판들의 배치의 각각의 기판은, 기판 표면 위의 증착 균일도를 보장하기 위해 합리적인 공차(tolerance) 내에서 동일한 온도로 노출되어야만 한다. 프로세싱 지역의 온도에 영향을 끼치는 하나의 요소는 챔버 하드웨어의 복사율이다.
프로세싱 지역의 가까이에 있는 다른 하드웨어 부품들 뿐만 아니라, 챔버 본체와 같은 가스 분배 샤워헤드는 일반적으로 저(low) 복사율 물질들로부터 제조된다. 챔버 하드웨어가 새로운 상태일 때, 즉 프로세스 가스 케미스트리(chemistry)들에 의해 산화되지 않거나 또는 부식되지 않을 때, 복사율은 알려져 있으며 또한 전형적으로 낮거나 또는 상대적으로 반사성(reflective)이다. 그러나, 챔버 표면들의 특성들은 시간이 지남에 따라 열화(degrade)되며, 또한 표면들의 복사율은 챔버에서 기판들의 반복적인 프로세싱 중 변할 수 있으며, 이는 복수의 기판들이 동시에 프로세싱되는 기판으로부터 기판까지, 또한 프로세스 런(run)으로부터 프로세스 런까지(즉, 웨이퍼로부터 웨이퍼까지 또는 배치로부터 배치까지) 기판에 걸쳐 온도 변화들로 나타날 수 있다. 챔버 부품 표면들이 증착 물질들로 덮이기 때문에 및/또는 부식되기 때문에, 즉 산화되거나 또는 그렇지 않은 경우 화학적으로 수정되기 때문에, 챔버 부품의 복사율이 변한다. 프로세스-런(process-run)(즉, 웨이퍼로부터 웨이퍼까지 또는 배치로부터 배치까지) 사이의 기판 온도는, 챔버 부품들의 복사율이 변함에 따라 드리프트(drift)하려는 경향을 가질 것이다. 따라서, 챔버 부품들의 복사율의 변화는 프로세싱 지역의 온도, 또한 따라서 기판들의 온도에 영향을 끼치며, 이는 기판들상의 막 형성 및 막 특성들에 영향을 끼친다.
일 실시예에 있어서, 기판 또는 기판들은 램프들과 같은 열 소스(source)와 가스 분배 샤워헤드 사이에 위치된 기판 지지체에 의해 프로세싱 지역에 지지된다. 기판 지지체의 온도 균일도 또는 온도 균일도의 제어를 강화하기 위해, 기판 지지체는 그 아키텍쳐(architecture) 덕분에 다른 챔버 부품들로의 제한된 도전성 열전달 경로들을 갖는다. 그러나, 이 동일한 디자인은 매립된 저항 히터에 의한 또는 문제가 있는 지지체-매립된 유체 순환 사이클 히터에 의한 저항 가열에 의한 저항 가열과 같은, 기판 지지체의 직접적인 가열을 수행한다. 그 결과, 기판 지지체는 기판 지지체의 아래에 또는 뒤에 배치된 램프들로부터 간접적으로 가열되며, 또한 가스 분배 샤워헤드의 반대쪽에 있는 기판 지지체의 측부(side)에 악영향을 끼친다. 이 간접적인 열의 일부는 기판 지지체 및 기판(들)에 의해 흡수되며, 이 간접적인 열의 다른 부분은 가스 분배 샤워헤드의 표면을 향해 방사(radiate)되며, 이는 샤워헤드 표면으로부터 흡수 또는 방사된다. 방사된 열의 양은 샤워헤드 표면의 복사율에 상당히 의존한다. 따라서, 프로세싱 지역의 온도는 간접적으로 평형 또는 비평형에 의해 램프들에 의해 챔버에 입력된 열의 함수이다. 열은 가스 분배 샤워헤드에 의해 흡수되고 또한 가스 분배 샤워헤드의 활동적인 냉각에 의해 제거되며, 또한 열은 가스 분배 샤워헤드로부터 방출되며, 평형의 마지막 부분은 가스 분배 샤워헤드의 표면의 변화하는 복사율의 함수이다. 다른 챔버 부품들 뿐만 아니라 기판(들) 및 기판 지지체로부터의 열 및 램프들에 의해 입력된 열을 제거하기 위해, 프로세싱 지역의 온도의 조절은 주로 가스 분배 샤워헤드의 활동적인 냉각에 의해 촉진된다. 기판(들)에 도달하는 열이 기판(들)을 떠나는 열과 동일할 때, 기판(들)은 원하는 온도를 유지한다. 2개의 열 값들에 차이가 있다면, 기판(들) 및 기판 지지체의 온도가 변한다.
위에 서술한 바와 같이, 기판(들) 및 기판 지지체의 간접적인 가열은 방사 가열에 의존한다. 이것은 다수의 요소들에 의존하지만, 그러나 기판(들)에 도달하는 또는 떠나는 열의 양에 대한 하나의 주요한 기여자(contributor)는 열교환 표면의 복사율이다. 열교환 표면의 고 복사율은 그들 표면들로부터 더 많은 열 흡수, 및 더 적은 열 방사(반사)로 나타난다. 복사율이 변하면, 설정된 또는 원하는 기판 온도를 유지하는 최종적인 열 평형이 변할 것이다. 특히, 서술한 시스템에 있어서, 기판 온도는 가스 분배 샤워헤드의 복사율 변화의 결과로서 드리프트하는 것으로 보인다. 기본적으로, 가스 분배 샤워헤드는 높은 열 반사 요소로서 프로세싱을 시작하며, 또한 따라서 샤워헤드에 도달하는 램프들로부터의 에너지는 그로부터 방출되려는 경향을 가져서, 높은 기판 온도로 나타난다. 그러나, 프로세싱이 발생함에 따라, 복사율이 변하고, 또한 따라서 시스템의 열 평형이 변하여, 기판 온도의 바람직하지 않은 하강 또는 변화로 나타난다. 이것은 램프들로부터의 열 에너지를 증가시키고, 샤워헤드에 의해 제거되는 열을 감소시킴으로써, 또는 이 모두에 의해 어느 정도 개선될 수 있지만, 그러나 챔버가 허용될 수 없는 주파수로 수동으로 세척되어야만 할 정도로 드리프트가 발생한다. 또한, 세척 후, 챔버는 열 평형 특성들에서 가스 분배 샤워헤드가 새것일 때 가졌던 열 평형 특성을 회복하지 않는 것으로 밝혀졌다.
챔버 부품들을 위한 많은 물질들이 현재 사용되고 있으며 및/또는 연구되고 있다. 그러나, 모든 물질들은 노출된 표면상에의 전구체 물질들의 고착(adhesion), 또는 이들 노출된 표면들의 부식 또는 산화로 인해 복사율 변화를 경험한다. 또한, 물질들이 세척될 수 있더라도, 표면들의 복사율은 새로운 표면의 복사율의 레벨로 세척될 수 없으며 및/또는 세척된 표면은 후속의 프로세싱 중 복사율 변화를 경험할 것이다. 복사율 변화는 프로세스 드리프트로 나타나며, 이는 반복 가능한 웨이퍼-웨이퍼(wafer-to-wafer) 및 웨이퍼 내(within wafer) 증착 결과들을 제공하기 위해 모니터링된 프로세스에 기초하여 추가적인 모니터링 및 튜닝(tuning)이 변화되어야만 할 것을 요구한다.
따라서, 온도 및/또는 프로세스 드리프트를 감소시키기 위해 안정한 복사율 특성들을 가능하게 하는 가스 분배 샤워헤드 및 다른 챔버 부품들이 요망되고 있다.
본 발명은 일반적으로 화학적 기상 증착(CVD) 프로세스들에 사용된 프로세스 챔버 부품들에 적용된 표면 코팅들을 위한 개선된 방법들 및 여기에 서술된 실시예들에 따른 표면 코팅을 갖는 CVD 프로세스들에 사용되는 장치를 제공한다. 일 실시예에 있어서, 샤워헤드 장치가 제공된다. 샤워헤드는 본체, 상기 본체로부터 연장하는 복수의 도관들, 및 프로세싱 표면상에 배치된 코팅을 포함하며, 상기 복수의 도관들의 각각은 본체의 프로세싱 표면으로 연장하는 개구를 가지며, 상기 코팅은 약 50 미크론 내지 약 200 미크론의 두께를 가지며 또한 약 0.8의 복사율 계수, 약 180 마이크로인치 내지 약 220 마이크로 인치의 평균 표면거칠기, 및 약 15% 이하의 다공도(porosity)를 갖는다.
다른 실시예에 있어서, 증착 챔버가 제공된다. 증착 챔버는 챔버 본체의 내부 표면들 사이에 포함된 내부 체적과 가스 분배 샤워헤드의 내부 표면들 및 도옴(dome)의 구조물의 내부 표면들을 갖는 챔버 본체, 가스 분배 샤워헤드의 반대쪽에 있는 관계로 내부 체적에 배치된 기판 지지체, 및 상기 도옴 구조물을 통해 광을 지향시키는 하나 또는 둘 이상의 램프 조립체들을 포함한다. 가스 분배 샤워헤드는 본체, 상기 본체에 배치된 복수의 도관들, 및 가스 분배 샤워헤드의 내부 표면들에 배치되는 코팅을 포함하며, 상기 복수의 도관들의 각각은 내부 체적에 하나 또는 둘 이상의 가스들을 전달하기 위해 본체의 내부 표면으로 연장하는 개구를 갖는다.
다른 실시예에 있어서, 기판을 프로세싱하기 위한 방법이 제공된다. 상기 방법은 챔버의 프로세싱 체적을 둘러싸는 본체의 하나 또는 둘 이상의 표면들에 코팅을 적용하는 단계, 챔버의 프로세싱 체적에 하나 또는 둘 이상의 기판들의 제1배치를 전송하는 단계, 설정점(set-point) 온도로 하나 또는 둘 이상의 기판들의 제1배치를 가열하고 또한 하나 또는 둘 이상의 기판들상에 제1증착 프로세스를 수행하기 위해 챔버의 프로세싱 체적에 입력 에너지를 제공하는 단계, 프로세싱 체적으로부터 하나 또는 둘 이상의 기판들을 전송하는 단계, 챔버의 프로세싱 체적으로 하나 또는 둘 이상의 기판들의 제2배치를 전송하는 단계, 및 하나 또는 둘 이상의 기판들상에 제2증착 프로세스를 수행하기 위해 설정점 온도로 하나 또는 둘 이상의 기판들의 제2배치를 가열하는 단계를 포함하며, 상기 설정점 온도는 약 0.12% 이하로 입력 에너지를 변화시킴으로써 유지된다.
상기 열거된 본 발명의 특징들이 상세히 이해될 수 있는 방식과 위에 개략적으로 요약된 본 발명의 더욱 특정한 서술은 실시예를 참고하여 이루어질 수 있으며, 그 일부가 첨부의 도면들에 도시되어 있다. 그러나, 첨부된 도면은 단지 본 발명의 전형적인 실시예들만 도시하였으며 따라서 그 범위를 제한하는 것으로 간주되지 않는데, 그 이유는 본 발명이 다른 등가의 유효한 실시예들을 인정할 수 있기 때문이다.
도1은 여기에 서술된 실시예들에 따른 반도체 디바이스들을 제조하기 위한 프로세싱 시스템의 일 실시예를 도시한 개략적인 평면도.
도2는 본 발명의 일 실시예에 따른 반도체 디바이스들을 제조하기 위한 화학적 기상 증착(CVD) 챔버의 개략적인 횡단면도.
도3은 도2에 도시된 A 를 상세히 도시한 확대도.
도4는 도2로부터 또한 본 발명의 일 실시예에 따른 샤워헤드 조립체의 개략적인 부분 저면도.
이해를 촉진시키기 위하여, 도면들에서 공통인 동일한 요소들을 식별하기 위해, 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 요소들 및 특징들은 추가적인 언급 없이 다른 실시예들로 유익하게 병합될 수 있는 것도 예상된다.
본 발명의 실시예들은 일반적으로 화학적 기상 증착(CVD) 프로세스에 사용되는 챔버 부품들을 위한 방법들 및 장치를 제공한다. 일 실시예에 있어서, 상기 방법 및 장치는 금속 유기 화학적 기상 증착(MOCVD) 및/또는 수소화물 증기 기상 에피택시(HVPE) 하드웨어를 사용하여 Ⅲ족-질화물 막들의 증착을 위해 사용될 수 있다. 일 면(aspect)에 있어서, 발광 다이오드(LED), 레이저 다이오드(LD), 또는 다른 디바이스를 형성하기 위해 물질들을 증착하기에 적합한 프로세싱 챔버가 제공된다.
열 CVD 증착 프로세스들의 프로세스 온도는 막 형성률 및 막 특성들에 영향을 끼친다. 균일하게 유지된 모든 프로세스 변수들에 의해, 프로세스-런(즉, 웨이퍼로부터 웨이퍼까지 또는 배치로부터 배치까지) 사이의 프로세스 온도는 챔버 부품들의 복사율이 변하기 때문에 드리프트하려는 경향을 가질 것이며, 또한 따라서 기판 또는 기판들의 온도가 드리프트할 것으로 밝혀졌다. 챔버 부품 표면들이 증착 물질들로 덮이게 되기 때문에 및/또는 부식되기 때문에, 즉 산화되거나 또는 그렇지 않을 경우 화학적으로 수정되기 때문에, 챔버 부품의 복사율이 변한다. 본래의 프리-프로세스(pre-process) 상태로 회복시키려는 의도로 챔버의 부분들이 주기적으로 세척되더라도, 본 발명자들은 표면들은 세척 후 본래의 상태로 회복되지 않으며, 또는 표면들은 그 상태로 반복해서 회복되지 않음을 발견하였다. 그 결과, 새로운 부품의 복사율 및 반사율(reflectance)로 되는 것이 바람직한 부품의 반사율 및 복사율이 다른 상태로 된다. 따라서, 프로세스 온도 및 온도 균일도는 세척 후 원하는 또는 예상된 바와는 상이하다.
여기서 본 발명자들은 표면 특성들을 수정하는 것과 및/또는 챔버 부품들, 특히 램프 가열된 CVD 챔버들에 사용된 금속 챔버 부품들을 코팅하는 것은 다수의 프로세싱 및/또는 세척 사이클들에 대해 그 복사율 특성들의 안정화를 가능하게 한다는 것을 발견하였다. 복사율이라는 용어는 동일한 온도에서 흑체(blackbody)에 의해 방출된 방사선에 대한, 표면에 의해 방출된 방사선의 비율을 지칭한다.
도1은 CVD 프로세스를 사용하여 기판상에 박막들을 증착하기 위해 복수의 프로세스 챔버들(102)을 포함하는 프로세싱 시스템(100)의 일 실시예를 도시한 개략적인 평면도이다. 일 실시예에 있어서, 복수의 프로세스 챔버들(102) 중 하나 또는 둘 이상은 MOCVD 또는 HVPE 프로세스와 같은 CVD 프로세스에 사용될 수 있는 CVD 챔버들이다. 프로세싱 시스템(100)은 전송 챔버(106), 상기 전송 챔버(106)와 연결된 적어도 하나의 프로세스 챔버(102), 상기 전송 챔버(106)와 연결된 로드록(loadlock) 챔버(108), 기판들을 저장하기 위해 상기 전송 챔버(106)와 연결된 배치 로드록 챔버(109), 및 기판들을 로딩하기 위해 상기 로드록 챔버(108)와 연결된 로드(load) 스테이션(110)을 포함한다. 전송 챔버(106)는 로드록 챔버(108), 배치 로드록 챔버(109), 및 프로세스 챔버(102) 사이에서 기판들을 픽업(pick up) 및 전송하도록 작동 가능한 로봇 조립체(도시되지 않음)를 포함한다. 또한, 하나 이상의 프로세스 챔버(102)가 전송 챔버(106)와 연결될 수 있다.
프로세싱 시스템(100)에 있어서, 로봇 조립체(도시되지 않음)는 화학적 기상 증착을 수행하기 위해 기판들로 로딩된 기판 캐리어 플레이트(112)를 슬릿(slit) 밸브(도시되지 않음)를 통해 또한 단일의 프로세스 챔버(102) 내로 전송한다. 여기에 서술된 실시예에 있어서, 기판 캐리어 플레이트(112)는 도2에 도시된 바와 같이 복수의 기판들을 이격된 관계로 수용하도록 구성된다. 일부 또는 모든 증착 단계들이 완료된 후, 그 위에 기판들을 갖는 기판 캐리어 플레이트(112)는 추가적인 프로세싱을 위해 로봇 조립체를 경유하여 프로세스 챔버(102)로부터 전송된다.
도2는 본 발명의 실시예들에 따른 프로세스 챔버(102)의 개략적인 횡단면도이다. 프로세스 챔버(102)는 챔버 본체(202), 전구체 가스들, 캐리어 가스들, 세척 가스들, 및/또는 퍼지(purge) 가스들을 전달하기 위한 화학물(chemical) 전달 모듈(203), 플라즈마 소스를 갖는 원격 플라즈마 시스템(226), 기판 캐리어 플레이트(112)를 지지하기 위한 기판 지지체 구조물(214), 및 진공 시스템(212)을 포함한다. 프로세스 챔버(102)의 내외로의 기판 캐리어 플레이트(112)의 전달을 위해 챔버 본체(202)에 밀봉 가능한 개구(211)가 제공된다. 챔버 본체(202)는 가스 분배 샤워헤드(204), 챔버 본체(202)의 일부 및 기판 캐리어 플레이트(112)에 의해 경계지워지는(bound) 프로세싱 체적(208)을 둘러싼다. 일 실시예에 있어서, 가스 분배 샤워헤드(204)의 표면들 및 프로세싱 체적(208)과 마주보는 챔버 본체(202)의 부분은, 부산물들에 의한 증착으로부터 베이스 물질을 차폐(shield)하는 코팅들(291, 296)을 각각 포함한다.
기판 지지체 구조물(214)은 프로세싱 중 기판 캐리어 플레이트(112)와 접촉 및 지지하는 지지 핀들을 갖는 복수의 지지 아암들을 포함할 수 있다. 일부 실시예들에 있어서, 기판 캐리어 플레이트(112)를 지지하기 위해 환형(annular) 지지 링(216)이 사용된다. 다른 실시예들에 있어서, 환형 지지 링(216)은 환형 지지 링(216) 사이의 지역에서 기판 캐리어 플레이트(112)의 후방측(backside)과 접촉하는 플레이트(218)와 연결되거나 또는 이와 함께 사용될 수 있다. 기판 지지체 구조물(214)은 기판 지지체 구조물(214)의 수직 및/또는 회전 운동을 제공하는 작동기(288)에 연결된다. 기판 캐리어 플레이트(214), 환형 지지 링(216), 및 기판 캐리어 플레이트(112)는 실리콘 탄화물, 그라파이트, 석영, 알루미나, 알루미늄 질화물, 및 그 조합물들로부터 제조될 수 있다. 일부 실시예들에 있어서, 플레이트(218)는 기판 캐리어 플레이트(112)와 상기 기판 캐리어 플레이트(112)상에 위치된 기판들(240)의 온도를 도전 가능하게 가열 및 제어하기 위해 가열 요소(223)(예를 들어, 저항 가열 요소)를 포함한다. 기판 캐리어 플레이트(112)의 온도 및/또는 기판들(240)의 온도를 모니터링하기 위해, 열전쌍(thermocouple) 또는 피로미터(pyrometer)와 같은 하나 또는 둘 이상의 센서들(도시되지 않음)이 사용될 수 있다. 환형 지지 링(216)이 사용되는 실시예들에 있어서, 기판 캐리어 플레이트(112)의 후방측의 온도를 감지하기 위해 하나 또는 둘 이상의 피로미터들이 위치될 수 있다. 플레이트(218)가 사용되는 실시예들에 있어서, 프로세싱 중 기판 지지체 구조물(214)의 온도, 플레이트(218)의 온도, 및/또는 기판 캐리어 플레이트(112)의 후방측의 온도를 모니터링하기 위해, 하나 또는 둘 이상의 열전쌍들이 기판 지지체 구조물(214) 및/또는 플레이트(218)에 연결될 수 있다.
가스 분배 샤워헤드 조립체(204)는 이중 매니폴드 샤워헤드(예를 들어, 프로세싱 체적(208)에 제1전구체 또는 제1프로세스 가스 혼합물을 전달하기 위해 제1프로세싱 가스 입구(259)를 경유하여 화학물 전달 모듈(203)에 연결되는 제1프로세싱 가스 매니폴드(204A), 및 프로세싱 체적(208)에 제2전구체 또는 제2프로세스 가스 혼합물을 전달하기 위한 제2프로세싱 가스 매니폴드(204B))로서 구성되며, 이는 샤워헤드 내에서 함께 혼합되는 이들 가스 스트림들 없이도 2개의 상이한 가스 스트림들이 샤워헤드에 의해 분배되게 한다. 제1프로세싱 가스 매니폴드(204A)는, 제1프로세싱 가스 매니폴드(204A)를 가로질러 위치된 차단기(blocker) 플레이트(255)(복수의 오리피스들(257)을 갖는)에 의해 2개의 서브 매니폴드(212A, 212B)로 분기(bi-furcate)된다. 제2프로세싱 가스 매니폴드(204B)는, 제2프로세싱 가스 입구(258)를 경유하여 프로세싱 체적(208)에 제2전구체 또는 제2프로세스 가스 혼합물을 전달하기 위해 화학물 전달 모듈(203)과 연결된다. 일 실시예에 있어서, 화학물 전달 모듈(203)은 제2프로세싱 가스 매니폴드(204B)에 암모니아(NH3) 또는 다른 MOCVD 또는 HVPE 프로세싱 가스와 같은 적절한 질소 함유 프로세싱 가스를 전달하도록 구성된다. 제2프로세싱 가스 매니폴드(204B)는 가스 분배 샤워헤드 조립체(204)의 제1매니폴드 벽(276)에 의해 제1프로세싱 가스 매니폴드(204A)로부터 분리된다.
화학물 전달 모듈(203)은 프로세스 챔버(102)에 화학물들을 전달한다. 반응 가스들(예를 들어, 제1 및 제2전구체 가스들), 캐리어 가스들, 퍼지 가스들, 및 세척 가스들은 화학물 전달 시스템으로부터 공급 라인들을 통해 또한 챔버(102) 내로 공급될 수 있다. 일 실시예에 있어서, 가스들은 공급 라인들을 통해 또한 가스들이 함께 혼합되고 그리고 가스 분배 샤워헤드 조립체(204)로 전달되는 가스 혼합 박스 내로 공급된다. 일 실시예에 있어서, 화학물 전달 모듈(203)은 제1프로세싱 가스 매니폴드(204A) 및 제2프로세싱 가스 매니폴드(204B)에 금속 유기 전구체를 전달하도록 구성된다. 일 예에 있어서, 금속 유기 전구체는 적절한 갈륨(Ga) 전구체(예를 들어, 트리메틸 갈륨(TMG), 트리에틸 갈륨(TEG)), 적절한 알루미늄 전구체(예를 들어, 트리메틸 알루미늄(TMA)), 또는 적절한 인듐 전구체(예를 들어, 트리메틸 인듐("TMIn"))를 포함한다. 퍼지 가스 소스(282)로부터의 퍼지 가스(예를 들어, 질소 함유 가스)는 하나 또는 둘 이상의 가스 플레넘(plenum)들(281)(오직 하나만 도시되었음)을 통해 가스 분배 샤워헤드 조립체(204)로부터 복수의 오리피스들(284)을 통해 프로세스 챔버(102) 내로 분배될 수 있다. 대안적으로 또는 추가적으로, 퍼지 가스는 퍼지가스 튜브(283)(오직 하나만 도시되었음)에 의해 프로세스 챔버(102)로 전달될 수 있다.
가스 분배 샤워헤드 조립체(204)는, 가스 분배 샤워헤드 조립체(204)(예를 들어, 열교환 시스템(270)과 연결된 온도 제어 채널(204C))의 온도를 조절하는 것을 돕기 위해 가스 분배 샤워헤드 조립체(204)를 통해 열 제어 유체를 흐르게 하기 위해 온도 제어 시스템을 추가로 포함한다. 제2프로세싱 가스 매니폴드(204B)는 가스 분배 샤워헤드 조립체(204)의 제2매니폴드 벽(277)에 의해 온도 제어 채널(204C)로부터 분리된다. 온도 제어 채널(204C)은 가스 분배 샤워헤드 조립체(204)의 제3매니폴드 벽(278)에 의해 프로세싱 체적(208)으로부터 분리될 수 있다.
프로세스 챔버(102)는 프로세싱 체적(208)의 하부 도옴(210)을 포함하는 투명한 물질로 제조된 하부 도옴(219)을 포함한다. 따라서, 프로세싱 체적(208)은 가스 분배 샤워헤드 조립체(204)와 하부 도옴(219) 사이에 포함된다. 배기(exhaust) 링(220)은 프로세스 챔버(102)로부터 배기 채널, 진공 펌프(207) 및 진공 시스템에 연결된 배기 포트들(209)로 배기 가스들을 지향시키는데 사용된다. 프로세싱 체적(208)으로의 방사 열은 복수의 램프들(예를 들어, 내측 램프들(221A) 및 반사기들(266)을 갖는 외측 램프들(221B))에 의해 제공될 수 있다.
프로세스 챔버(102)의 벽들 및 배기 통로와 같은 주변 구조물들의 온도는, 프로세스 챔버(102)의 벽들의 채널들(도시되지 않음)을 통해 열제어 액체를 순환시킴으로써 추가로 제어될 수 있다. 열제어 액체는 원하는 효과에 따라 챔버 본체(202)를 가열 또는 냉각시키는데 사용될 수 있다. 예를 들어, 뜨거운 액체는 열 증착 프로세스 중 균등한 열구배(thermal gradient)를 유지하는 것을 도울 수 있는 반면에, 차가운 액체는 세척 가스의 해리(dissociation)를 위해 인시튜(in-situ) 플라즈마 프로세스 중 시스템으로부터 열을 제거하거나, 또는 챔버의 벽들상에 증착 산물(product)들의 형성을 제한하는데 사용될 수 있다. 가스 분배 샤워헤드 조립체(204)를 통해 열교환 시스템(270)으로부터 열제어 유체에 의해 제공되는 가열 또는 냉각 및/또는 챔버 본체(202)의 벽들에 열제어 액체의 전달에 의한 가열 또는 냉각과 마찬가지로, 램프들(221A, 221B)에 의해 제공된 가열은 약 500℃ 내지 약 1300℃, 특히 약 700℃ 내지 약 1300℃ 의 프로세싱 체적(208)의 프로세싱 온도를 유지시킨다. 일 실시예에 있어서, 프로세스 챔버(102)의 프로세싱 체적(208)에서 프로세싱 온도를 약 900℃ 내지 약 1,050℃, 또는 그 이상을 생산하기 위해, 램프들(221A, 221B)로의 입력 전력은 약 45 kW 내지 약 90 kW 이다. 일 실시예에 있어서, 프로세싱 온도는 하나 또는 둘 이상의 열전쌍들과 같은, 기판 캐리어 플레이트(112)의 후방측의 온도를 측정하는 센서들을 사용함으로써 모니터링된다(도1).
가스 분배 샤워헤드 조립체(204)의 제3매니폴드 벽(278)은 기판 지지체 구조물(214)과 마주보는 표면(289)를 포함한다. 가스 분배 샤워헤드 조립체(204)의 다른 부분들과 마찬가지로, 표면(289)의 온도가 프로세싱 중 모니터링 및 제어된다. 가스 분배 샤워헤드 조립체(204)는 스텐레스 스틸로부터 제조되며, 표면(289)은 약 0.17 의 복사율 계수를 갖는 베어(bare) 스텐레스 스틸이다. 일 실시예에 있어서, 기판 지지체 구조물(214)과 마주보는 가스 분배 샤워헤드 조립체(204)의 표면(289)은, 표면(289)의 복사율을 0.17 이상으로 증가시키기 위해 거친(roughened) 표면을 포함한다. 표면(289)은 초기 복사율을 증가시키기 위해 비드 블라스팅(bead blasting)에 의해 거칠어질 수 있으며 따라서 프로세싱 챔버(102)의 프로세싱에 의해 유발된 복사율의 변화를 제한한다. 따라서, 표면(289)의 거칠기는 반사율을 낮추며 또한 가스 분배 샤워헤드 조립체(204)의 베이스 물질의 열 흡수를 안정시킨다.
일 실시예에 있어서, 표면(289)은 약 80 마이크로 인치(μ-inch) 내지 약 120 μ-inch 의 평균 표면 거칠기(Ra)를 갖는 거친 표면을 제공하도록 비드 블라스팅된다. 표면(289)의 거칠기는 거칠지 않은 표면들에 비해 표면(289)의 초기 복사율을 증가시키며, 또한 부식 또는 산화에 의해 유발되는 복사율 변화를 감소시키며, 이는 프로세스 드리프트를 감소시킨다. 일 실시예에 있어서, 거친 표면을 제공하기 위해 #80 그릿(grit) 크기가 사용된다. 비드 블라스팅은 원하는 그릿 크기를 사용하여 원하는 Ra 를 생성하는 것으로 알려진 압력으로 적용될 수 있다. 일 면에 있어서, 비드들은 표면(289)의 임의의 개구들로 들어가는 것이 허용된다. 일 면에 있어서, 가스 분배 샤워헤드 조립체(204)의 임의의 개구들의 직경들은 그릿 크기 보다 크며, 특히 #80 그릿 크기의 치수 보다 크다. 개구들은 진공 펌프에 가스 분배 샤워헤드 조립체(204)를 연결함으로써 또는 가스 분배 샤워헤드 조립체(204)의 개구들로 들어갈 수 있는 임의의 그릿을 제거 및 배출하기 위해 가스 분배 샤워헤드 조립체(204)를 진공 환경으로 배치함으로써 세척될 수 있다. 다른 면에 있어서, 퍼지 가스는 임의의 비드들 또는 그릿이 개구들로 들어가는 것을 방지 또는 최소화하기 위해 약 80 psi 의 압력으로 가스 분배 샤워헤드 조립체(204)의 개구들을 통해 전달될 수 있다.
다른 실시예에 있어서, 기판 지지체 구조물(214)과 마주보는 가스 분배 샤워헤드 조립체(204)의 표면(289)은 코팅(291)을 포함한다. 또한, 챔버 본체(202)의 내부 표면들(295)과 같은, 프로세싱 체적(208)에 가까운 프로세스 챔버(102)의 다른 표면들은 코팅(296)을 포함할 수 있다. 일 실시예에 있어서, 가스 분배 샤워헤드 조립체(204) 및 챔버 본체(202)는 스텐레스 스틸 물질, 예를 들어 316L 스텐레스 스틸과 같은 전기적으로 도전성인 물질을 포함한다. 코팅들(291, 296)은 증착에 사용된 프로세스 케미스트리에 순응할 수 있는(compatible) 물질을 포함하며 또한 세척 프로세스들은 MOCVD 및 HVPE 프로세스들에 사용된 극도의 온도 적용들에 순응할 수 있다. 베이스 물질의 열 흡수를 안정시켜 반복된 프로세싱을 촉진시키기 위해, 코팅들(219, 296)은 표면들(289 및/또는 295) 및 그 베이스 물질의 복사율 변동들을 무효화 또는 안정화시키기 위해 챔버 부품들의 복사율을 설정한다. 일 실시예에 있어서, 코팅들(291, 296)은 약 0.8 내지 약 0.85 의 복사율 계수를 포함한다.
코팅들(291, 296)은 표면들(289, 295)상에 증착되는 세라믹 물질을 포함할 수 있다. 이런 코팅들이 스텐레스 스틸과 같은 금속 표면상에 적용될 때, 증착 및 세척 프로세스들 이후 부품들의 표면의 복사율은 깨끗한 사용되지 않은 부품 표면의 복사율에 상당히 가까운 것으로 밝혀졌다. 일 면에 있어서, 코팅(291)은 알루미나 또는 알루미늄 산화물(Al2O3), 지르코늄 산화물(ZrO2), 이트륨(Y), 이트륨 산화물(Y2O3), 크롬 산화물(Cr2O3), 실리콘 탄화물(SiC), 그 조합물들 또는 그 유도체(derivative)들을 포함한다. 코팅들(291, 296)은 플라즈마 분사(spraying)와 같은 열 분사법을 사용하여 각각의 표면들상에 증착될 수 있다. 표면들(289, 295)상에 형성된 코팅들(291, 296)은 약 50 미크론(㎛) 내지 약 200 ㎛ 의 두께를 가질 수 있다. 코팅들(291, 296)은 다공성일 수 있다. 일 실시예에 있어서, 코팅들(291, 296)은 광학적 방법을 사용하여 약 0.5% 내지 약 10%, 예를 들어 약 8% 내지 약 10% 와 같은 약 10% 이하의 다공도를 포함한다. 다른 실시예에 있어서, 코팅들(291, 296)은 아르키메데스(Archimedes) 방법을 사용하여 약 0.5% 내지 약 15%, 예를 들어 약 10% 내지 약 15% 와 같은 15% 이하의 다공도를 포함한다. 코팅들(291, 296)은 친수성(hydrophilic) 또는 습식 가능할 수 있으며 또한 약 0 도 내지 90 도와 같은 약 90 도 이하의 접촉각(contact angle)을 포함한다. 코팅들(291, 296)은 플라즈마 분사 후 백색 컬러일 수 있으며 또한 여러번의 증착 및/또는 세척 사이클들 후라도 색깔이 실질적으로 백색으로 존재할 수 있다. 또한, 복사율은 첫번째 사용과 세척 프로세스 사이에서 실질적으로 안정하다. 예를 들어, 복사율은 첫번째 사용시 약 0.8 이며 인시튜 세척 이전에 약 0.81 일 수 있다. 따라서, 코팅들(291, 296)의 복사율 델타(delta)는 새로운 깨끗한 표면 또는 사용된 세척된 표면에 비해 약 0.8 내지 약 0.85 이다. 코팅들(291, 296)에 의해 제공된 복사율 델타는 램프들(221A, 221B)에 적용된 전력에서 무시할 수 있는 보상(compensation)을 제공하며, 이는 일 실시예에 있어서 프로세싱 체적(208)에서 약 1,000℃ 의 온도 및/또는 약 1,000℃ 의 기판 온도를 제공하는데 사용되는 약 80,000 와트 내지 약 90,000 와트의 전력 설정점에서 약 100 와트 이하이다. 가스 분배 샤워헤드 조립체(204)의 물질과 코팅들(291, 296) 사이에 열팽창 계수의 어긋남(mismatch)이 있을 수 있더라도, 코팅들(291, 296)의 다공도는 코팅들(291, 296)의 응력을 감소시킨다. 따라서, 위에 서술한 바와 같은 다공도 값을 갖는 코팅들(291, 296)을 제공함으로써, 코팅들(291, 296)은 더욱 탄성이 되며, 이는 프로세스 챔버(102)의 가열 및 냉각 중, 특히 프로세스 챔버(102)가 시동 시 실온으로부터 가열되거나 또는 서비스 시 실온으로 냉각될 때, 코팅들(291, 296)의 균열(cracking)을 방지한다.
플라즈마 분사 프로세스는 코팅들(291, 296)을 형성하기 위해 대기압에서 엑시튜(ex-situ)로 수행된다. 플라즈마 분사 프로세스는 코팅들(291, 296)의 고착을 증가시키기 위해 표면들(289, 295)의 준비를 포함한다. 일 실시예에 있어서, 표면들(289, 295)은 거친 표면을 생성시켜 코팅들(291, 296)의 고착을 촉진시키기 위해 비드 블라스팅된다. 일 면에 있어서, 비드들은 약 80 마이크로 인치(μ-inch) 내지 약 120 μ-inch 의 Ra 를 갖는 거친 표면을 형성하는데 사용된 #80 그릿 크기 알루미늄 산화물 입자들이다. 퍼지 가스는 임의의 입자들이 표면(289)상에 형성된 임의의 개구들로 들어가는 것을 방지하기 위해 비드 블라스팅 중 가스 분배 샤워헤드 조립체(204)를 통해 전달될 수 있다. 일 실시예에 있어서, 세라믹 파우더(powder)로 구성된 플라즈마 분사는 거칠기 작업(roughening) 후에 표면(289, 295)상에 증착될 수 있다. 일 실시예에 있어서, 세라믹 파우더는 99.5% 순도이다. 다른 실시예에 있어서, 세라믹 파우더는 알루미늄 산화물(Al2O3)이다. 플라즈마 분사는 원하는 파우더 크기를 사용하여 원하는 Ra 를 생성하기 위한 압력으로 적용될 수 있다. 일 면에 있어서, 세라믹 파우더의 플라즈마는 표면들(289, 295)에 적용되며 또한 표면들(289, 295)의 임의의 개구들은 막힘(clogging)을 방지하기 위해 덮이거나 또는 충전(fill)된다. 다른 면에 있어서, 세라믹 파우더의 플라즈마는 표면들(289, 295)의 임의의 개구들로 적어도 부분적으로 들어가는 것이 허용된다. 일 실시예에 있어서, 퍼지 가스는 표면(289)에 형성된 임의의 개구들로 분사가 들어가는 것을 방지하는 약 80 psi 의 압력으로, 플라즈마 분사 중 가스 분배 샤워헤드 조립체(204)를 통해 전달된다. 일 면에 있어서, 표면(289)의 임의의 개구들이 표면(289)의 코팅(291)의 두께와 동일한 양만큼 연장되도록 플라즈마 분사가 표면(289)에 적용된다. 또 다른 실시예에 있어서, 퍼지 가스는 분사의 일 부분이 표면(289)상에 형성된 개구들로 들어가는 것을 허용하는 약 80 psi 의 압력으로, 가스 분배 샤워헤드 조립체(204)를 통해 전달된다. 또 다른 실시예에 있어서, 플라즈마 분사는 개구들을 덮도록 허용된다. 이 실시예에 있어서, 개구들은 필요할 경우 코팅의 적용 후 다시 재개방되고 또한 크기를 갖도록 재가공될 수 있다.
또한, 코팅들(291, 296)은 필요할 경우 제거될 수 있으므로, 표면들(289, 295)의 베이스 물질은 재단장(re-furbish)될 수 있다. 코팅들(291, 296)은 비드 블라스팅에 의해 또는 표면들(289, 295) 사이의 인터페이스를 공격하고 또한 코팅과 베이스 물질 사이의 본딩(bond)을 파괴하는 화학물들을 사용함으로써 제거될 수 있다. 표면들(289, 295)이 세척된 후, 코팅들(291, 296)은 위에 서술한 코팅 프로세스에 따라 세척된 표면들(289, 295)에 재적용될 수 있으며 또한 프로세스 챔버(102) 내로 재설치될 수 있다.
도3은 도2에 도시된 A 의 상세한 확대도이며, 또한 가스 분배 샤워헤드 조립체(204)상의 코팅(291)의 분배를 도시하고 있다. 가스 분배 샤워헤드 조립체(204)는 제1주요측(major side)(305A) 및 제2주요측(305B)을 갖는 본체(300)를 포함한다. 도2 및 3에 있어서, 일 실시예에 있어서, 금속 유기 전구체와 같은 제1전구체 또는 제1프로세싱 가스 혼합물은, 복수의 내측 가스 도관들(246)에 의해 제1프로세싱 가스 매니폴드(204A)로부터 제2프로세싱 가스 매니폴드(204B) 및 온도 제어 채널(204C)을 통해 프로세싱 체적(208) 내로 전달된다. 내측 가스 도관들(246)은 가스 분배 샤워헤드 조립체(204)의 제1매니폴드 벽(246), 제2매니폴드 벽(277), 및 제3매니폴드 벽(278)을 통해 배치된 정렬된 구멍들 내에 위치되는 스텐레스 스틸로 제조된 원통형 튜브들일 수 있다. 각각의 내측 가스 도관들(246)은 제2주요측(305B)에 개구(310A)를 포함한다. 각각의 개구(310A)는 흐름 경로(A3)를 따라 프로세싱 체적(208)에 제1전구체를 전달하기 위해 표면(289)을 통해 형성된다. 일 실시예에 있어서, 내측 가스 도관들(246)은 납땜과 같은 적절한 수단에 의해 가스 분배 샤워헤드 조립체(204)의 제1매니폴드 벽(276)에 각각 부착된다.
일 실시예에 있어서, 질소 전구체와 같은 제2전구체 또는 제2프로세싱 가스 혼합물은 복수의 외측 가스 도관들(245)을 통해 제2프로세싱 가스 매니폴드(204B)로부터 온도 제어 채널(204)을 통해 또한 프로세싱 체적(208) 내로 전달된다. 외측 가스 도관들(245)은 스텐레스 스틸로 제조된 원통형 튜브들일 수 있다. 각각의 외측 가스 도관들(245)은 각각의 내측 가스 도관(246)에 대해 동심적으로(concentrically) 위치될 수 있다. 각각의 외측 개구 도관들(245)은 제2주요측(305B)에 개구(310B)를 포함한다. 각각의 개구(310B)는 흐름 경로(A2)를 따라 프로세싱 체적(208)에 제2전구체를 전달하기 위해 표면(289)을 통해 형성된다. 외측 가스 도관들(245)은 가스 분배 샤워헤드 조립체(204)의 제2매니폴드 벽(277) 및 제3매니폴드 벽(278)을 통해 배치된 정렬된 구멍들 내에 위치된다. 일 실시예에 있어서, 외측 가스 도관들(245)은 납땜과 같은 적절한 수단에 의해 가스 분배 샤워헤드 조립체(204)의 제2매니폴드 벽(277)에 각각 부착된다. 입력 라인에 의해 전달된 전구체들로부터 원격 플라즈마 시스템(226)에 생산된 플라즈마 종(species)들은 도관(204D)을 통해 흐른다. 플라즈마 종들은 흐름 경로(A1)에서 가스 분배 샤워헤드 조립체(204)를 통해 프로세싱 체적(208)으로 분산(disperse)된다. 플라즈마 종들은 가스 분배 샤워헤드 조립체(204)의 표면(289)을 통해 형성된 개구(310C)를 통해 흐른다.
일 실시예에 있어서, 각각의 개구들(310A-310C)은 내측 직경(D1-D3)과 같은 직경을 포함하며 또한 코팅(291)은 직경들(D1-D3)의 감소 없이 개구들(310A-310C)을 연장하는 방식으로 표면(289)에 적용된다. 일 실시예에 있어서, 내측 직경들(D1-D3)은 약 0.6 mm 이다. 일 면에 있어서, 개구들(310A-310C)은 직경들(D1-D3)의 임의의 감소 없이 코팅(291)의 두께와 동일한 양으로 연장된다. 다른 실시예에 있어서, 코팅(291)은 개구들(310A-310C)의 일부를 적어도 부분적으로 덮으며 또한 내부 코팅(315)으로서 도시된 내측 직경들(D1-D3)로 들어가는 것이 허용된다. 이 실시예에 있어서, 개구들(310A-310C)은 플라즈마 분사 전에 덮이거나 또는 충전되지 않는다. 따라서, 코팅(291)은 개구들(310A-310C)의 크기를 감소시키는 것이 허용된다. 일 실시예에 있어서, 코팅의 두께(292)는 표면(289) 및 내측 직경들(D1-D3)상에서 약 50 ㎛ 내지 약 200 ㎛ 이다. 일 면에 있어서, 두께(292)는 각각의 개구(310A-310C)의 개방된 면적 백분율(percentage)의 양에 대응하도록 선택된다. 일 예에 있어서, 코팅(291)의 두께(292)는 각각의 개구(310A-310C)의 일부를 덮어서 개구 직경(D1-D3)의 적어도 약 80% 이상을 남기도록 선택된다. 일 실시예에 있어서, 코팅(291)은 표면(289)으로부터 약 50 ㎛ 내지 약 200 ㎛ 의 깊이로 개구들(310A-310C)로 들어가는 것이 허용된다. 개구(284)(도2)는 도시되지 않으며 또한 개구들(310A-310C)을 참조하여 위에 서술한 바와 같이 코팅(291)에 의해 적어도 부분적으로 덮일 수 있다.
일 실시예에 있어서, 램프들(221A, 221B)로부터의 1차적인(primary) 열(320)은 기판 캐리어 플레이트(112) 및 기판들(240)에 의해 흡수된다. 기판 캐리어 플레이트(112) 및 기판들(240)로부터의 2차적인(secondary) 열(325)은 프로세싱 체적(208) 내로 방사된다. 2차적인 열(325)의 일부는 코팅(291)이 표면(289)의 반사율을 상당히 낮추는 가스 분배 샤워헤드 조립체(204)의 하부 본체(330)에 의해 흡수된다. 2차적인 열(325)의 대부분은 코팅(291)의 표면(293)에 의해 흡수되며, 이는 2차적인 열(325)로부터 가스 분배 샤워헤드 조립체(204)를 격리(insulate)하도록 작용한다. 코팅(291)은 프로세싱 중 열화되거나 또는 색깔을 상당히 변색시키지 않으며, 이는 가스 분배 샤워헤드 조립체(204)의 하부 본체(330)로부터 프로세싱 체적(208) 내로 방사된 에너지(335)의 실질적으로 균일한 방출(emission)을 제공한다. 도시되지는 않았지만, 기판 캐리어 플레이트(112) 및 기판들(240)로부터의 2차적인 또는 방사 열(325)은 챔버 본체(202)(도2)에 의해 흡수되며 또한 챔버 본체(202)로부터 프로세싱 체적(208) 내로의 방사된 에너지(335)는 실질적으로 균일하며, 이는 챔버 본체(202)의 내부 표면들(295)상의 코팅(291)에 의해 촉진된다.
일부 실시예들에 있어서, 이들 표면상의 전구체 흡수를 방지 또는 감소시키기 위하여 전구체 가스들에 노출되는 가스 분배 샤워헤드 조립체(204)의 내부 표면들에 코팅(291)이 적용될 수 있다. 예를 들어, 도2를 참조하여, 도관(204D), 제1프로세싱 가스 입구(259), 제2프로세싱 가스 입구(258), 제1프로세싱 가스 매니폴드(204A), 제2프로세싱 가스 매니폴드(204B), 차단기 플레이트(255) 및 오리피스들(257)의 내부 표면들과 같은, 전구체들의 컨덕턴스(conductance) 경로의 일부 또는 모든 표면들은 내측 가스 도관들(246)의 내부 표면들과 마찬가지로 그에 적용되는 코팅(291)을 가질 수 있다. 코팅(291)은 불균일한 프로세싱 및 막 증식으로 나타날 수 있는 전구체 흡수 또는 가스 분배 샤워헤드 조립체(204)의 내부 표면들상의 들러붙음(sticking)을 방지 또는 상당히 감소시킨다. 예를 들어, 트리메틸 인듐(TMIn) 및 비스(bis)(시클로펜타디에닐) 마그네슘(Cp2Mg)과 같은 전구체들은 금속 챔버 표면들에 쉽게 고착되려는 경향을 갖는다. 따라서, 프로세싱 런에 있어서, 전구체 물질들의 일부는 가스 분배 샤워헤드 조립체(204)의 내부 표면들에 고착될 수 있으며 또한 기판들(240)에 도달하지 않으며, 이는 기판으로의 전구체의 불충분한 전달로부터 나타나는 불균일한 증착 및/또는 불균일한 막 증식으로 나타날 수 있다. 다수의 프로세싱 런들에 있어서, 가스 분배 샤워헤드 조립체(204)의 내부 표면들상에 흡수된 전구체들은, 흡수된 전구체 물질들이 표면들로부터 의도하지 않게 분리되며 및/또는 의도하지 않은 시간 간격들로 다른 전구체 가스들에 의해 기판들(240)로 전송되는 "메모리 효과(memory effect)"를 생산할 수 있다. 전구체들의 의도하지 않은 분리는 바람직한 시간 간격들을 벗어나 기판들(240)에 분리된 전구체들을 도입함으로써, 분리된 전구체들을 추가적인 또는 과잉 반응 가스들로서 도입함으로써, 및/또는 분리된 전구체들을 막의 입자들로 도입함으로써 막 품질에 악영향을 끼칠 수 있다. 전구체 가스들에 노출된 가스 분배 샤워헤드 조립체(204)의 내부 표면들에 적용되는 코팅(291)의 실시예들은, 금속 표면에 전구체의 고착을 최소화함으로써 메모리 효과를 방지 또는 감소시킨다. 따라서, 가스 분배 샤워헤드 조립체(204)의 표면들상의 전구체 흡수의 감소는 효과적인 가스 전달을 유지시키며, 또한 상당한 흐름 제어 및 뚜렷한(sharper) 온/오프 천이(transition)들을 제공하며, 이는 개선된 막 품질, 바람직한 다중-양자(multi-quantum) 웰 형성, 및 접합부들의 도핑된 지역들의 개선된 선명도(sharpness)로 나타난다.
도4는 도2로부터 또한 본 발명의 일 실시예에 따른 샤워헤드 조립체(204)의 개략적인 부분 저면도이다. 도시된 바와 같이, 제2프로세싱 가스 매니폴드(204B)로부터 제2가스를 전달하는 외측 가스 도관(245) 및 제1프로세싱 가스 매니폴드(204A)로부터 제1가스를 전달하는 내측 가스 도관(246)을 포함하는 동심적인 튜브 구성은, 더욱 밀착된 및 더욱 균일한 패턴으로 배치된다. 일 실시예에 있어서, 동심적인 튜브들은 6각형으로 밀폐되어 패킹된 배치로 구성된다. 그 결과, 제1프로세싱 가스 매니폴드(204A) 및 제2프로세싱 가스 매니폴드(204B)로부터 전달된 제1 및 제2프로세싱 가스들의 각각은, 프로세싱 체적(208)에 위치된 기판들(240)을 가로질러 더욱 균등하게 전달되어, 더욱 상당한 증착 균일도로 나타난다.
요약하면, 본 발명의 실시예들은 프로세스 챔버(102)의 프로세싱 체적(208) 내로 프로세싱 가스들을 분리 가능하게 전달하기 위해 동심적인 튜브 조립체들을 갖는 가스 분배 샤워헤드 조립체(204)를 포함한다. 가스 분배 샤워헤드 조립체(204)는 프로세스 챔버(102)의 다른 부분들 뿐만 아니라 프로세싱 체적(208)에 가까운 부품들의 복사율 변화들을 감소시키기 위해 그 위에 배치된 고 복사율 코팅(291, 296)을 포함할 수 있다. 코팅들(291, 296)은 새로운 부품 표면들 및/또는 세척된 부품 표면들에 비해 낮은 복사율 델타, 또는 프로세싱 내 또는 런-투-런(run-to-run) 복사율 변화를 제공하며, 이는 프로세싱 체적(208)에서 열의 안정한 방사를 촉진시킨다. 따라서, 프로세싱 체적(208)을 가열하는 전력 설정점들이 여기에 서술된 실시예에 따라 더욱 안정하다. 이것은 프로세스 매개변수들을 조정할 필요 없이 및/또는 챔버 부품들의 빈번한 세척을 수행할 필요 없이 웨이퍼-웨이퍼 반복성(repeatability)을 개선시킨다.
코팅(291)의 사용에 의해, 프로세스 챔버(102)와 같은 LED 프로세싱 챔버의 프로세싱 체적(208)에 적용된 또한 이로부터 제거된 열은, 종래의 프로세스 챔버 디자인들에 비해 더욱 용이하게 유지될 수 있는 것으로 밝혀졌다. 코팅된 챔버 부품들은 감소된 복사율 변화들로 나타나며, 이는 일반적으로 웨이퍼-웨이퍼 및 웨이퍼 내 온도 균일도 결과들의 개선으로 이어지며, 또한 따라서 개선된 LED 디바이스 성능 반복성으로 이어진다. 여기에 서술된 가스 분배 샤워헤드 조립체(204)의 사용에 의해, 원하는 기판 프로세싱 온도, 예를 들어 가열 요소(223)로부터의 도전성 가열 또는 램프들(221A, 221B)로부터의 방사 열을 유지하기 위해, 기판 가열 소스(들)에 의해 기판들에 제공된 열 에너지와 같은 입력 에너지는, 원하는 설정점 온도를 유지하기 위해 약 0.5% 이하의, 예를 들어 약 0.12% 이하와 같은 약 0.5% 내지 약 0.2% 이하의 가열 소스(들)에 적용된 전력의 변화와 같은 상당히 작은 범위로 유지되는 것으로 밝혀졌다. 예를 들어, 약 1,000℃ 의 설정점 온도를 유지하기 위해, 램프들(221A, 221B)과 같은 기판 가열 소스(들)에 적용된 전력은 100 와트 이하로 변한다. 일 예에 있어서, 약 1,000℃ 의 온도 설정점을 유지하기 위해, 일정하게 유지된 열교환 시스템(270)을 통한 유체에 의한 열 제거에 의해, 기판 가열 소스(들)에 의해 기판들에 제공된 열 에너지는 100 와트 이하로 변하며, 이는 기판 프로세싱 온도를 달성하는데 사용된다. 다른 예에 있어서, 약 80,000 와트의 전력 설정점을 유지하기 위해, 기판 가열 소스(들)에 의해 기판들에 제공된 열 에너지는 100 와트 이하로 변하며, 이는 약 1,000℃ 의 기판 프로세싱 온도를 달성하는데 사용된다. 램프들(221A, 221B)에 적용된 전력의 변화, 및/또는 복사율 드리프트를 보상하기 위해 열 제어 유체의 온도 또는 흐름율의 변화는 여기에 서술된 실시예들에 따라 상당히 감소된다.
일 실시예에 있어서, 프로세싱 중 사용된 기판 캐리어 플레이트(112)(도1)는 100,000 mm2 와 같은 약 95,000mm2 내지 약 103,000mm2 의 표면적을 포함하며, 램프들(221A, 221B)로의 입력 전력은 설정점 프로세싱 온도를 달성하기 위해 이 면적에 기초하여 변할 수 있다. 일 실시예에 있어서, 램프들(221A, 221B)로의 입력 전력은 기판 캐리어 플레이트(112)의 후방측에서 측정된 약 900℃ 의 프로세싱 온도를 달성하기 위해 약 45 kW 이다. 다른 실시예에 있어서, 램프들(221A, 221B)로의 입력 전력은 기판 캐리어 플레이트(112)의 후방측에서 측정된 약 1,050℃ 의 프로세싱 온도를 달성하기 위해 약 90 kW 이다. 따라서, 램프들(221A, 221B)로의 입력 전력의 전력 밀도는, 기판 캐리어 플레이트(112)의 표면적에 기초하여 약 0.45 W/mm2 내지 약 0.9 W/mm2 일 수 있다.
다른 실시예에 있어서, 프로세싱 중 사용된 가스 분배 샤워헤드 조립체(204)는 200,000 mm2 와 같은 약 100,000mm2 내지 약 250,000mm2 의 표면적(즉, 표면(289)의 면적)을 포함하며, 램프들(221A, 221B)로의 입력 전력은 설정점 프로세싱 온도를 달성하기 위해 이 면적에 기초하여 변할 수 있다. 일 실시예에 있어서, 램프들(221A, 221B)로의 입력 전력은 기판 캐리어 플레이트(112)의 후방측에서 측정된 약 900℃ 의 프로세싱 온도를 달성하기 위해 약 45 kW 이다. 다른 실시예에 있어서, 램프들(221A, 221B)로의 입력 전력은 기판 캐리어 플레이트(112)의 후방측에서 측정된 약 1,050℃ 의 프로세싱 온도를 달성하기 위해 약 90 kW 이다. 따라서, 램프들(221A, 221B)로의 입력 전력의 전력 밀도는, 가스 분배 샤워헤드 조립체(204)의 표면적에 기초하여 약 0.225 W/mm2 내지 약 0.45 W/mm2 일 수 있다.
일 예에 있어서, 16개의 증착 프로세스 사이클들로부터의 데이터가 얻어졌으며, 16개의 증착 및 세척 사이클들에 대해 램프들(221A, 221B)로 전달된 전력은 실질적으로 안정하게 유지되었다. 이 예에 있어서, 그 위에 코팅(291)을 갖는 가스 분배 샤워헤드 조립체(204)는, 코팅되지 않은 가스 분배 샤워헤드 조립체를 위한 동일한 램프 출력 전력에서 램프 출력의 8,000 와트 드리프트에 비해, 약 80,000 와트의 램프 출력 전력에서 100 와트 드리프트를 경험하였다. 따라서, 16개의 증착 프로세스 사이클들에 대해, 그 위에 코팅(291)을 갖는 가스 분배 샤워헤드 조립체(204)는 기판들이 배치되는 프로세싱 환경의 열 제어에서 80X 의 개선을 제공하였다. 이 예에 있어서, 열교환 시스템(270) 및 온도 제어 채널(204C)을 통해 전달된 열 제어 유체의 온도는, 가스 분배 샤워헤드 조립체(204)로부터 취한(taken) 열의 변화를 결정하기 위해 증착 및 세척 프로세스들 중 모니터링되었다. 가스 분배 샤워헤드 조립체(204)를 통해 제거된 에너지는 증착 증 약 15.3 kW 이었다. 기판(들) 프로세싱 온도가 프로세스-런으로부터 프로세스-런까지 수 도(예를 들어, +/- 2.5℃) 이상 드리프트된다면, LED 디바이스 수율이 상당히 변할 것으로 본 기술분야의 숙련자에게 밝혀졌다. 막 두께의 가변성 및 프로세스-런으로부터 프로세스-런까지 형성된 LED 디바이스들에 생성된 광 출력으로 인해, LED 디바이스 수율 문제가 적어도 부분적으로 상승한다. 따라서, 여기에 서술된 실시예들은 실질적으로 동일한 막 두께 및 광 출력을 갖는 LED 디바이스를 반복적으로 생산하기 위해, 허용 가능한 범위 내로(즉, +/- 2.5℃ 이하로) 런-투-런 기판 프로세싱 온도 변화 또는 드리프트를 방지 또는 최소화한다. 여기에 서술된 코팅(291)의 사용에 의해, 런-투-런 평균 기판 프로세싱 온도 범위는 1,000℃ 와 같은 800℃ 내지 1,300℃ 의 원하는 설정점 프로세싱 온도에서 약 +/- 2.5℃ 이하로 밝혀졌다. 따라서, 여기에 서술된 바와 같은 코팅(291)의 사용은 실질적으로 동일한 광 출력 특성들을 갖는 LED 디바이스를 생산하기 위해, 프로세스-런 투 프로세스-런(process-run to process-run) 막 두께 변화들 및 웨이퍼 내 막 두께 변화들을 최소화한다.
그 위에 코팅(291)을 갖는 가스 분배 샤워헤드 조립체(204)의 테스팅은, 막 두께가 사양(specification)으로부터 드리프트되기 전에 세척 간격들 사이의 증가 및 프로세스-런의 개수의 증가를 나타내었다. 예를 들어, 그 위에 코팅(291)을 갖는 가스 분배 샤워헤드 조립체(204)는 사양 당(per) 막 두께를 유지할 동안 80개의 프로세스-런들을 위해 사용되었다. 이것은 10개의 프로세스-런들 후에 막 두께가 사양으로부터 드리프트되는, 코팅 없는 가스 분배 샤워헤드와 비교된다. 따라서, 일 면에 있어서, 여기에 서술한 바와 같이 그 위에 코팅(291)을 갖는 가스 분배 샤워헤드 조립체(204)는, 코팅 없이 샤워헤드를 사용하는 약 10 개에 비해 인시튜 세척 전에 프로세스-런들의 개수를 약 80 개로 증가시켰다. 일부 증착 프로세스들에 있어서, 프로세스-런들의 개수는 인시튜 세척이 필요하기 전에 약 300 개로 증가될 수 있는 것으로 밝혀졌다. 따라서, 여기에 서술한 바와 같이 가스 분배 샤워헤드(204)는 챔버의 정지시간을 최소화함으로써 처리율(throughput)을 증가시킨다. 또한, 그 위에 코팅(291)을 갖는 가스 분배 샤워헤드 조립체(204)의 테스팅은, 약 40℃ 의 기판 지지체 구조물(214)의 표면의 온도 감소와 같은, 프로세싱 체적(208)에 가까운 표면들의 온도 감소를 나타냈다. 기판 지지체 구조물의 온도의 감소는 코팅(291)의 표면의 고 복사율로 인한 것이었으며, 또한 따라서 코팅(291)은 기판 지지체 구조물(214) 및 기판들로부터 가스 분배 샤워헤드 조립체(204)로의 방사 열전달을 개선시킨 것으로 여겨진다. 따라서, 기판 지지체 구조물(214)에 대한 열손실은 램프들(221A, 221B)로 입력된 동일한 전력을 사용하여 가스 분배 샤워헤드 조립체(204)를 위한 감소된 온도로 나타난다.
또한, 가스 분배 샤워헤드 조립체(204)상에 배치된 코팅(291)은 램프들(221A, 221B)로부터 전달된 열로부터 본체(300)를 격리시키려는 경향을 갖는다. 위에 서술한 바와 같이, 코팅(291)의 증가된 복사율로 인해, 가스 분배 샤워헤드 조립체(204)는 코팅되지 않은 샤워헤드 조립체 보다 더 많은 열 에너지를 흡수할 것이다. 따라서, 코팅(291)의 고 복사율 및 격리 특성들로 인해, 프로세싱 체적(208)에 가까운 코팅(291)의 표면(293)은 코팅되지 않은 금속 샤워헤드 보다 상당한 표면 온도를 가질 것이며, 이는 동일한 프로세스를 수행하는 코팅되지 않은 샤워헤드에 비해 프로세스 런들 사이에 수행되는 인시튜 세척 프로세스를 더욱 효율적 및 효과적이게 할 수 있다.
상술한 바는 본 발명의 실시예들에 관한 것이지만, 그 기본적인 범위로부터의 일탈 없이 본 발명의 다른 또한 추가적인 실시예들이 창작될 수 있으며, 또한 그 범위는 하기의 청구범위들에 의해 결정된다.

Claims (15)

  1. 샤워헤드로서,
    본체;
    상기 본체를 통해 연장하는 복수의 도관들 ― 상기 복수의 도관들 각각은 상기 본체의 프로세싱 표면으로 연장하는 개구를 가짐 ―; 및
    상기 프로세싱 표면상에 배치되는 코팅
    을 포함하며,
    상기 코팅은 약 50 미크론 내지 약 200 미크론의 두께이고,
    상기 코팅은,
    적어도 약 0.8 의 복사율의 계수;
    약 180 마이크로 인치 내지 약 220 마이크로 인치의 평균 표면 거칠기; 및
    약 15% 또는 그 미만의 다공도(porosity)를 포함하는,
    샤워헤드.
  2. 제1항에 있어서,
    상기 코팅은 색깔이 백색인,
    샤워헤드
  3. 제1항에 있어서,
    상기 코팅은 친수성인,
    샤워헤드.
  4. 제3항에 있어서,
    상기 코팅은 약 0 도 내지 약 90 도의 접촉각을 포함하는,
    샤워헤드.
  5. 제1항에 있어서,
    상기 본체는 약 80 마이크로 인치 내지 약 120 마이크로 인치의 평균 표면 거칠기를 갖는 금속성 물질을 포함하는,
    샤워헤드.
  6. 제5항에 있어서,
    상기 금속성 물질은 스텐레스 스틸을 포함하는,
    샤워헤드.
  7. 제1항에 있어서,
    상기 프로세싱 표면은 약 80 마이크로 인치 내지 약 120 마이크로 인치의 평균 표면 거칠기를 포함하는,
    샤워헤드.
  8. 증착 챔버로서,
    챔버 본체의 내부 표면들 사이에 포함된 내부 체적, 가스 분배 샤워헤드의 내부 표면들, 및 도옴 구조물의 내부 표면들을 갖는 챔버 본체;
    상기 가스 분배 샤워헤드와 마주보는 관계로 상기 내부 체적에 배치된 기판 지지체 구조물; 및
    상기 도옴 구조물을 통해 광을 지향시키는 하나 또는 둘 이상의 램프 조립체들을 포함하며, 상기 가스 분배 샤워헤드는
    본체;
    상기 본체에 배치된 복수의 도관들 ― 상기 복수의 도관들 각각은 상기 내부 체적에 하나 또는 둘 이상의 가스들을 전달하기 위해 상기 본체의 상기 내부 표면으로 연장하는 개구를 가짐 ―; 및
    상기 가스 분배 샤워헤드의 상기 내부 표면들상에 배치되는 코팅을 포함하는,
    증착 챔버.
  9. 제8항에 있어서,
    상기 챔버 본체의 상기 내부 표면들은 세라믹 코팅을 포함하는,
    증착 챔버.
  10. 제8항에 있어서,
    상기 코팅은 적어도 약 0.8 의 복사율의 계수를 갖는,
    증착 챔버.
  11. 제8항에 있어서,
    상기 코팅은 약 180 마이크로 인치 내지 약 220 마이크로 인치의 평균 표면 거칠기를 갖는,
    증착 챔버.
  12. 제8항에 있어서,
    상기 코팅은 세라믹 물질을 포함하는,
    증착 챔버.
  13. 제8항에 있어서,
    상기 본체는 약 80 마이크로 인치 내지 약 120 마이크로 인치의 평균 표면 거칠기를 갖는 금속성 물질을 포함하는,
    증착 챔버.
  14. 제13항에 있어서,
    상기 금속성 물질은 스텐레스 스틸을 포함하는,
    증착 챔버.
  15. 제8항에 있어서,
    상기 코팅은 약 50 미크론 내지 약 200 미크론의 두께를 포함하는,
    증착 챔버.
KR1020137006943A 2010-08-27 2011-06-09 고 복사율 표면을 갖는 가스 분배 샤워헤드 KR101930527B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37785010P 2010-08-27 2010-08-27
US61/377,850 2010-08-27
US13/154,060 2011-06-06
US13/154,060 US20120052216A1 (en) 2010-08-27 2011-06-06 Gas distribution showerhead with high emissivity surface
PCT/US2011/039857 WO2012027009A2 (en) 2010-08-27 2011-06-09 Gas distribution showerhead with high emissivity surface

Publications (2)

Publication Number Publication Date
KR20130093113A true KR20130093113A (ko) 2013-08-21
KR101930527B1 KR101930527B1 (ko) 2018-12-18

Family

ID=45697624

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137006943A KR101930527B1 (ko) 2010-08-27 2011-06-09 고 복사율 표면을 갖는 가스 분배 샤워헤드

Country Status (6)

Country Link
US (1) US20120052216A1 (ko)
JP (1) JP5911491B2 (ko)
KR (1) KR101930527B1 (ko)
CN (1) CN103069543B (ko)
TW (1) TWI570258B (ko)
WO (1) WO2012027009A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150071683A (ko) * 2013-12-18 2015-06-26 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
WO2018151462A1 (ko) * 2017-02-15 2018-08-23 주식회사 펨빅스 가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드
US10208397B2 (en) 2015-08-03 2019-02-19 Samsung Electronics Co., Ltd. Apparatus for depositing a thin film
US11104995B2 (en) 2016-03-31 2021-08-31 Kokusai Electric Corporation Substrate processing apparatus

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6670625B2 (ja) * 2015-07-10 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
CN107275250A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
DE202017104061U1 (de) 2017-07-07 2018-10-09 Aixtron Se Beschichtungseinrichtung mit beschichteter Sendespule
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
JP2022502845A (ja) * 2018-09-26 2022-01-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ガス分配アセンブリおよびその動作
TWI754179B (zh) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 具有改善溫度均勻性的空間晶圓處理
CN112575308B (zh) * 2019-09-29 2023-03-24 宝山钢铁股份有限公司 一种能在真空下带钢高效镀膜的真空镀膜装置
US20240124978A1 (en) * 2022-10-13 2024-04-18 Eugenus, Inc. Gas diffuser plate coated with emissivity-controlling thin film and methods of forming same

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
JPH08144060A (ja) * 1994-11-25 1996-06-04 Ulvac Japan Ltd プラズマcvd装置
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2004002101A (ja) * 2002-05-31 2004-01-08 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
KR20040058819A (ko) * 2002-12-27 2004-07-05 삼성전자주식회사 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN101147244B (zh) * 2005-07-28 2010-05-19 东京毅力科创株式会社 基板处理方法和基板处理装置
JP2007081218A (ja) * 2005-09-15 2007-03-29 Tosoh Corp 真空装置用部材
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP5140957B2 (ja) * 2005-12-27 2013-02-13 東京エレクトロン株式会社 成膜装置
JP2007180417A (ja) * 2005-12-28 2007-07-12 Siltronic Ag 半導体基板製造方法
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
EP2383774A1 (en) * 2009-01-29 2011-11-02 Tokyo Electron Limited Film deposition device and gas ejection member
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150071683A (ko) * 2013-12-18 2015-06-26 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
KR20220038628A (ko) * 2013-12-18 2022-03-29 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10208397B2 (en) 2015-08-03 2019-02-19 Samsung Electronics Co., Ltd. Apparatus for depositing a thin film
US11104995B2 (en) 2016-03-31 2021-08-31 Kokusai Electric Corporation Substrate processing apparatus
WO2018151462A1 (ko) * 2017-02-15 2018-08-23 주식회사 펨빅스 가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드

Also Published As

Publication number Publication date
WO2012027009A4 (en) 2012-06-21
JP5911491B2 (ja) 2016-04-27
CN103069543B (zh) 2016-06-15
WO2012027009A2 (en) 2012-03-01
TW201209214A (en) 2012-03-01
KR101930527B1 (ko) 2018-12-18
TWI570258B (zh) 2017-02-11
CN103069543A (zh) 2013-04-24
US20120052216A1 (en) 2012-03-01
WO2012027009A3 (en) 2012-04-19
JP2013536590A (ja) 2013-09-19

Similar Documents

Publication Publication Date Title
KR101930527B1 (ko) 고 복사율 표면을 갖는 가스 분배 샤워헤드
US8980379B2 (en) Gas distribution showerhead and method of cleaning
US9449859B2 (en) Multi-gas centrally cooled showerhead design
KR100744860B1 (ko) 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치
KR101246491B1 (ko) 박막제조장치 및 제조방법
JP4576466B2 (ja) 気相成長装置及び気相成長方法
US20090194024A1 (en) Cvd apparatus
TWI391519B (zh) 汽相沉積裝置及汽相沉積方法
US20120108081A1 (en) Apparatus having improved substrate temperature uniformity using direct heating methods
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
US20100126419A1 (en) Susceptor for cvd apparatus and cvd apparatus including the same
JP4222086B2 (ja) 熱処理装置
KR20090038606A (ko) 서셉터 및 이를 이용한 반도체 제조방법
WO2008051670A2 (en) Substrate support structure with rapid temperature change
US20130220222A1 (en) Gas Distribution Apparatus with Heat Exchanging Channels
WO2022109522A1 (en) Pedestal including seal
EP3184666B1 (en) System and method for gas phase deposition
KR20190005818A (ko) 서셉터 어셈블리 및 이를 포함하는 mocvd 장치
KR20120051968A (ko) 서셉터 및 이를 구비한 화학 기상 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant