KR20150071683A - 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치 - Google Patents

균일성 베플들을 포함하는 반도체 기판 프로세싱 장치 Download PDF

Info

Publication number
KR20150071683A
KR20150071683A KR1020140183520A KR20140183520A KR20150071683A KR 20150071683 A KR20150071683 A KR 20150071683A KR 1020140183520 A KR1020140183520 A KR 1020140183520A KR 20140183520 A KR20140183520 A KR 20140183520A KR 20150071683 A KR20150071683 A KR 20150071683A
Authority
KR
South Korea
Prior art keywords
annular
cavity
flow stream
process gas
baffle
Prior art date
Application number
KR1020140183520A
Other languages
English (en)
Other versions
KR102376429B1 (ko
Inventor
아룬 케샤바무르디
슈라벤디크 바트 반
데이비드 코헨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150071683A publication Critical patent/KR20150071683A/ko
Priority to KR1020220031961A priority Critical patent/KR102581543B1/ko
Application granted granted Critical
Publication of KR102376429B1 publication Critical patent/KR102376429B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49428Gas and water specific plumbing component making
    • Y10T29/4943Plumbing fixture making

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 기판을 프로세시하는 반도체 기판 프로세싱 장치는 샤워헤드를 포함하며, 샤워헤드 모듈은 프로세스 가스를 프로세스 가스 소스로부터, 대면플레이트 (faceplate) 통한 가스 통로들을 갖는 대면플레이트를 통해서, 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 전달한다. 샤워헤드 모듈은 샤워헤드 모듈의 하부 단부에서의 캐비티 (cavity) 와 유체적으로 연통하는 가스 전달 도관; 가스 전달 도관 및 캐비티 내에 있는 베플 장치 (baffle arrangement); 및 캐비티 내에서 베플 장치 아래에 배치된 블록커 플레이트 (blocker plate) 를 포함한다. 베플 장치는, 가스 전달 도관을 통해서 흐르는 프로세스 가스를 중앙 플로우 스트림 (flow stream), 내측 환상 (inner annular) 플로우 스트림, 및 외측 환상 플로우 스트림으로 분할하는 베플들을 포함하며, 중앙 플로우 스트림은 블록커 플레이트에 의해서 방향이 전환된 후에 베플 장치를 나가고 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며,상기 내측 환상 플로우 스트림은 대면플레이트의 내측 환상 영역 위에서 베플 장치를 나가고, 외측 환상 플로우 스트림은 대면플레이트의 외측 환상 영역 위에서 베플 장치를 나간다.

Description

균일성 베플들을 포함하는 반도체 기판 프로세싱 장치{SEMINCONDUCTOR SUBSTRATE PROCESSING APPARATUS INCLUDING UNIFORMITY BAFFLES}
관련 출원에 대한 교차 참조
본원은 2013년 12월 18일에 출원된 미국 가 특허 출원 번호 61/917,590에 대한 우선권을 35 U.S.C. §119(e) 하에서 주장하며, 이 문헌은 본 명세서에서 참조로서 인용된다.
본 발명은 반도체 기판들을 프로세싱하는 것을 수행하기 위해서 사용되는 반도체 기판 프로세싱 장치들에 관한 것이며, 박막들의 화학 기상 증착을 수행할 시에 특정하게 사용될 수 있다.
반도체 기판 프로세싱 장치들은 PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 에칭 및 레지스트 제거를 포함하는 기법들에 의해서 반도체 기판들을 프로세싱하는데 사용된다. 반도체 기판을 프로세싱하는데 사용되는 일 타입의 반도체 기판 프로세싱 장치는 반응 챔버 내에서 반도체 기판을 지지하는 기판 페데스탈 모듈 및 샤워헤드 모듈을 포함하는 반응 챔버를 포함한다. 샤워헤드 모듈은 반도체 기판이 프로세싱될 수 있도록 프로세스 가스를 반응 챔버 내로 전달한다. 이러한 챔버에서, 반도체 기판의 상부 표면에 걸친 불균일한 프로세스 가스 플로우 전달은 기판 프로세싱 동안에 불균일한 막 증착으로 이어질 수 있다.
반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치가 개시된다. 이 장치는 바람직하게는 반도체 기판들이 프로세싱되는 화학적 격리 챔버를 포함한다. 화학적 격리 챔버와 유체적으로 연통하고 프로세스 가스를 화학적 격리 챔버 내로 공급하기 위한 프로세스 가스 소스가 존재한다. 프로세스 가스를 프로세스 가스 소스로부터, 대면플레이트 (faceplate) 통한 가스 통로들을 갖는 대면플레이트를 통해서, 개별 반도체 기판들이 프로세싱되는 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 전달하는 샤워헤드 모듈이 존재한다. 샤워헤드 모듈은 샤워헤드 모듈의 하부 단부에서의 캐비티 (cavity) 와 유체적으로 연통하는 가스 전달 도관, 가스 전달 도관 및 캐비티 내에 있는 베플 장치 (baffle arrangement), 및 캐비티 내에서 베플 장치 아래에 배치된 블록커 플레이트 (blocker plate) 를 포함한다. 베플 장치는, 가스 전달 도관을 통해서 흐르는 프로세스 가스를 중앙 플로우 스트림 (flow stream), 내측 환상 (inner annular) 플로우 스트림, 및 외측 환상 플로우 스트림으로 분할하는 베플들을 포함한다. 중앙 플로우 스트림은 블록커 플레이트에 의해서 방향이 전환된 후에 베플 장치를 나가고 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며, 내측 환상 플로우 스트림은 대면플레이트의 내측 환상 영역 위에서 베플 장치를 나가고, 외측 환상 플로우 스트림은 대면플레이트의 외측 환상 영역 위에서 베플 장치를 나간다. 샤워헤드 모듈의 대면플레이트에 인접하며 기판의 프로세싱 동안에 대면플레이트 아래의 프로세싱 존 내에서 기판을 지지하도록 구성된 기판 페데스탈 (pedestal) 모듈이 존재한다.
또한, 반도체 기판 프로세싱 장치의 샤워헤드 모듈이 개시되며 샤워헤드 모듈은 프로세스 가스를 프로세스 가스 소스로부터, 대면플레이트 (faceplate) 통한 가스 통로들을 갖는 대면플레이트를 통해서, 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 전달한다. 샤워헤드 모듈은 샤워헤드 모듈의 하부 단부에서의 캐비티 (cavity) 와 유체적으로 연통하는 가스 전달 도관; 가스 전달 도관 및 캐비티 내에 있는 베플 장치 (baffle arrangement); 및 캐비티 내에서 베플 장치 아래에 배치된 블록커 플레이트 (blocker plate) 를 포함한다. 베플 장치는, 가스 전달 도관을 통해서 흐르는 프로세스 가스를 중앙 플로우 스트림 (flow stream), 내측 환상 (inner annular) 플로우 스트림, 및 외측 환상 플로우 스트림으로 분할하는 베플들을 포함하며, 중앙 플로우 스트림은 블록커 플레이트에 의해서 방향이 전환된 후에 베플 장치를 나가고 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며, 상기 내측 환상 플로우 스트림은 대면플레이트의 내측 환상 영역 위에서 베플 장치를 나가고, 외측 환상 플로우 스트림은 대면플레이트의 외측 환상 영역 위에서 베플 장치를 나간다.
도 1은 본 명세서에서 개시된 실시예에 따른 화학 증착 장치의 개요를 도시하는 개략도이다.
도 2는 박막의 생성 동안에 반응 종들 간의 표면 반응 및/또는 증착을 증진하는데 있어서 플라즈마가 사용될 수 있는, 본 명세서에서 개시된 실시예들을 구현하기 위해서 구성된 다양한 장치 컴포넌트들을 도시하는 블록도이다.
도 3은 본 명세서에서 개시된 실시예에 따라서 구성된 샤워헤드 모듈을 도시한다.
도 4는 본 명세서에서 개시된 실시예에 따라서 구성된 샤워헤드 모듈의 단면도이다.
도 5는 본 명세서에서 개시된 실시예에 따라서 구성된 샤워헤드 모듈의 단면도이다.
도 6은 본 명세서에서 개시된 실시예에 따른 샤워헤드 모듈들의 실시예들에 있어서 기판의 상부 표면 상에서의 프리커서 농도의 그래프를 예시한다.
도 7은 본 명세서에서 개시된 실시예에 따른 샤워헤드 모듈들의 실시예들에 있어서 불균일도의 그래프를 예시한다.
도 8은 본 명세서에서 개시된 실시예에 따른 샤워헤드 모듈들의 실시예들에 있어서 프로세스 가스 플로우 레이트들의 그래프를 예시한다.
다음의 상세한 설명부분에서, 다수의 특정한 실시예들이 본 명세서에서 기술된 장치 및 방법의 철저한 이해를 제공하기 위해서 제시된다. 그러나, 본 실시예들은 이러한 특정 세부사항들 없이도 또는 다른 요소들 또는 프로세스들을 사용하여서 실시될 수 있음은 본 기술 분야의 당업자에게 자명할 것이다. 다른 실례로서, 잘 알려진 프로세스들, 절차들 및/또는 컴포넌트들은 본 명세서에서 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다. 수치 값들과 관련하여서 본 명세서에서 사용되는 바와 같이, 용어 "약"은 ± 10 퍼센트를 말한다.
반도체 기판들을 프로세싱하기 위한 화학 기상 증착 장치와 같은 반도체 기판 프로세싱 장치가 개시된다. 이 장치는 바람직하게는 반도체 기판들이 프로세싱되는 화학적 격리 챔버를 포함한다. 화학적 격리 챔버와 유체적으로 연통하고 프로세스 가스를 화학적 격리 챔버 내로 공급하기 위한 프로세스 가스 소스가 존재한다. 프로세스 가스를 프로세스 가스 소스로부터, 대면플레이트 (faceplate) 통한 가스 통로들을 갖는 대면플레이트를 통해서, 개별 반도체 기판들이 프로세싱되는 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 전달하는 샤워헤드 모듈이 존재한다. 샤워헤드 모듈은 샤워헤드 모듈의 하부 단부에서의 캐비티 (cavity) 와 유체적으로 연통하는 가스 전달 도관, 가스 전달 도관 및 캐비티 내에 있는 베플 장치 (baffle arrangement), 및 캐비티 내에서 베플 장치 아래에 배치된 블록커 플레이트 (blocker plate) 를 포함한다. 베플 장치는, 가스 전달 도관을 통해서 흐르는 프로세스 가스를 중앙 플로우 스트림 (flow stream), 내측 환상 (inner annular) 플로우 스트림, 및 외측 환상 플로우 스트림으로 분할하는 베플들을 포함한다. 중앙 플로우 스트림은 블록커 플레이트에 의해서 방향이 전환된 후에 베플 장치를 나가고 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며, 내측 환상 플로우 스트림은 대면플레이트의 내측 환상 영역 위에서 베플 장치를 나가고, 외측 환상 플로우 스트림은 대면플레이트의 외측 환상 영역 위에서 베플 장치를 나간다. 샤워헤드 모듈의 대면플레이트에 인접하며 기판의 프로세싱 동안에 대면플레이트 아래의 프로세싱 존 내에서 기판을 지지하도록 구성된 기판 페데스탈 (pedestal) 모듈이 존재한다.
일 실시예에서, 상기 베플 장치는, 상기 가스 전달 도관 내에 있는 수직으로 연장하는 내측 튜브 (inner tube) 및 상기 캐비티 내에 있으면서 상기 내측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 하부 환상 디스크 (annular disc) 를 포함하는 제 1 베플; 및 상기 가스 전달 도관 내에 있는 수직으로 연장하는 외측 튜브 (outer tube) 및 상기 캐비티 내에 있으면서 상기 외측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 상부 환상 디스크 (annular disc) 를 포함하는 제 2 베플을 포함한다. 상기 상부 환상 디스크의 외경은 상기 하부 환상 디스크의 외경보다 크며, 상기 블록커 플레이트와 상기 하부 환상 디스크 간의 제 1 갭과 유체적으로 연통하는 상기 내측 튜브의 직경, 상기 하부 환상 디스크와 상기 상부 환상 디스크 간의 제 3 갭과 유체적으로 연통하는, 상기 내측 튜브와 상기 외측 튜브 간의 제 2 갭, 및 상기 상부 환상 디스크와 상기 캐비티의 상부 벽 간의 제 5 갭과 유체적으로 연통하는, 상기 외측 튜브와 상기 가스 전달 도관의 벽 간의 제 4 갭은, 균일한 농도의 프로세스 가스가 상기 캐비티의 하부 벽을 형성하는 상기 대면플레이트를 통해서 반도체 기판의 상부 표면 위의 프로세싱 존으로 전달되도록, 상기 캐비티의 상이한 방사상 위치들에서 상기 캐비티 내로의 프로세스 가스의 플로우 레이트가 상이하게 되게 한다.
전술한 바와 같이, 본 실시예들은 증착 장치 (또는 다른 실시예에서는 에칭 장치) 와 같은 반도체 기판 프로세싱 장치들 및 이와 관련된 PECVD와 같은 화학 기상 증착을 실시하기 위한 방법들을 제공한다. 이 장치 및 방법들은 다중 단계 증착 프로세스들 (예를 들어서, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PECVD (plasma enhanced chemical vapor deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서의 자가 정지형 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스 또는 금속 디포지션 프로세스들과 함께 사용되기에 특히 적용가능하지만, 이로 한정되는 것은 아니다. 반도체 기판들을 프로세싱하는 예시적인 실시예들은 공동으로 양도된 미국 특허 출원 번호 2013/0230987, 2013/0005140, 2013/0319329, 및 미국 특허 번호 8,580,697, 8,431,033, 및 8,557,712에서 찾을 수 있으며, 이들은 전체 내용이 본 명세서에서 참조로서 인용된다.
전술한 프로세스들은 프로세스 가스 프리서커 또는 반응물과 같은 증착된 프로세스 가스를 수용하는 반도체 기판 또는 웨이퍼의 상부 표면으로의 불균일한 프로세스 가스 전달과 관련된 몇몇 단점들을 겪을 수 있다. 예를 들어서, 기판의 상부 표면 상의 불균일한 프리커서 분포는 기판의 에지에서보다 기판의 중앙으로 보다 많은 프리커서 가스가 전달되는 프리커서의 펄스 (pulse) 후에 형성될 수 있다. 불균일한 프리서커 분포는 또한 프리커서의 플로우 레이트가 변하는 (즉, 샤워헤드 모듈을 통해서 프로세싱 존으로 전달된 프로세스 가스의 플로우 레이트의 변화가 있는), 프리커서의 펄스 동안에 발생하는 과도적 프로세스 가스 플로우 기간 동안에 형성될 수 있다. 예를 들어서, 과도 가스 플로우 기간 (transient gas flow period) 은 프로세스 가스 플로우가 먼저 개시되는 시간으로부터 프로세스 가스가 가스 플로우의 정상 상태 (즉, 정상 레이트) 에 도달하기까지의 간에 존재하거나, 과도 가스 플로우 기간은 프로세스 가스 플로우 레이트가 증가하거나 감소하는 기간 동안에 존재한다. 또한, 프리커서가 기판의 상부 표면 전체에 걸쳐서 포화 상태에 도달하는데 요구되는 시간의 길이 동안에 기판의 상부 표면 상으로 유동하는 ALD 프로세스들에서, 포화에 도달하는데 요구되는 시간의 길이는 상부 표면으로 전달된 프리커서의 균일성에 의해서 좌우될 것이다. 따라서, 포화 상태에 도달하는 시간 및 이로써 장치의 처리량은 전달된 프리커서의 균일도에 의해서 좌우된다. 또한, 기판에 전달된 프리커서 가스의 균일도 및 장치의 처리량은 프로세스 가스 플로우가 가스 플로우의 정상 상태에 도달하는데 요구되는 시간에 의존하며, 가스 플로우의 정상 상태에 도달하는데 걸리는 시간이 짧아지면 기판으로 프로세스 가스가 균일하게 전달되지 않는 과도 가스 플로우 기간을 줄일 수 있다. 따라서, 장치의 프로세싱 존의 상부 벽을 형성하는 샤워헤드는, 바람직하게는 기판의 표면 상의 균일한 코팅을 달성하기 위해서, 보다 단축된 프로세스 가스 플로우 시간을 달성하기 위해서, 그리고 샤워헤드를 통한 가스 플로우의 정상 상태에 도달하는데 걸리는 시간을 줄이기 위해서 (즉, 과도 프로세스 가스 플로우 기간을 줄이기 위해서) 그리고 소정의 프로세스 동안에 사용된 프로세스 가스의 양을 줄임으로써 비용 절감을 위해서, 기판의 상부 표면 상으로 프로세스 가스를 균일하게 전달해야 한다.
일반적으로 2 개의 주요 타입의 증착 샤워헤드가 존재한다: 샹들리에 타입 및 플러시 마운트 타입 (chandelier type 및 flush mount type). 샹들리에 샤워헤드들은 일 단부에서 챔버의 상단에 부착된 스템부를 가지고 타단부에서는 대면플레이트를 가지며 따라서 샹들리에를 닮았다. 스템부의 일부는 가스 라인 및 RF 전력의 접속을 실현하기 위해서 챔버 상단으로부터 돌출될 수도 있다. 플러시 마운트 타입 샤워헤드는 챔버의 상단 내로 통합되고 챔버의 상단 외측에 위치한 스템을 갖는다. 본 실시예들은 샹들리에 타입 또는 플러시 마운트 타입 샤워헤드에 관한 것이다.
도 1은 본 명세서에 개시된 실시예들에 따른 화학적 증착 장치 (201) 의 개요를 도시하는 개략도이다. 기판 (13) 은 샤워헤드 모듈 (211) 에 대하여 상승되거나 하강될 수 있고, 또한 수직으로 이동가능할 수 있는 이동가능한 페데스탈 모듈 (223) 의 상단에 놓인다. 반응 물질 가스들은 가스 라인 (203) 을 통해 챔버의 프로세싱 존 (318) 으로 도입되고, 프로세스 가스 플로우는 질량 유량 제어기 (229) 에 의해 제어된다. 장치는 사용된 반응 물질 가스들의 수에 따라 하나 이상의 가스 랄인들로 수정될 수도 있다는 것을 주의한다. 챔버는 진공 소스 (209) 에 연결된 진공 라인 (235) 을 통해 배기된다. 진공 소스는 진공 펌프일 수도 있다.
본 명세서에 개시된 실시예들은 플라즈마 강화된 화학적 증착 장치 (즉, PECVD 장치, PEALD 장치, 또는 PEPDL 장치) 에서 빈번하게 구현된다. 도 2는 증착을 강화하기 위해 플라즈마가 사용되는, 본 명세서에 개시된 실시예들을 구현하기 위해 배열된 다양한 장치 컴포넌트들을 도시하는 간단한 블록도를 제공한다. 도시된 바와 같이, 프로세싱 존 (318) 은 페데스탈 모듈 (223) 이 가열되고, 페데스탈 모듈 (223) 과 함께 작동하는 샤워헤드 모듈 (211) 을 포함하는 용량 결합된 플라즈마 시스템부에 의해 발생된 플라즈마를 포함하도록 기능한다. 매칭 네트워크 (206) 에 연결된 고주파수 (HF) RF 발생기 (204) 및 선택적인 저주파수 (LF) RF 발생기 (202) 중 적어도 하나와 같은, RF 소스(들) 가 샤워헤드 모듈 (211) 에 연결된다. 대안적인 실시예에서, HF 발생기 (204) 는 페데스탈 모듈 (223) 에 연결된다. 매칭 네트워크 (206) 에 의해 공급된 전력 및 주파수는 프로세스 가스/기체로부터 플라즈마를 생성하기에 충분하다. HF 발생기 및 LF 발생기 양자가 사용되는 일 실시예 및 대안적인 실시예에서, HF 발생기만 사용된다. 통상적인 프로세스에서, HF 발생기는 일반적으로 약 2 내지 100 ㎒에서 동작되고; 바람직한 실시예에서, 약 13.56 ㎒ 또는 27 ㎒에서 동작된다. LF 발생기는 일반적으로 약 50 ㎑ 내지 2 ㎒에서 동작되고; 바람직한 실시예에서, 약 350 내지 600 ㎑에서 동작된다. 프로세스 파라미터들은 챔버 체적, 기판 사이즈, 및 다른 인자들에 기초하여 스케일링될 수도 있다. 유사하게, 프로세스 가스의 플로우 레이트는 진공 챔버 또는 프로세싱 존의 체적에 의존하지 않는다.
챔버 내에서, 페데스탈 모듈 (223) 은 그 위에 박막들과 같은 재료들이 증착될 수도 있는 기판 (13) 을 지지한다. 페데스탈 모듈 (223) 은 증착 및/또는 플라즈마 처리 반응들 사이에 그리고 증착 및/또는 플라즈마 처리 반응들 동안 기판을 홀딩하고 이동시키기 위한 포크 (fork) 또는 리프트 핀들 (lift pins) 을 갖는다. 일 실시예에서, 기판 (13) 은 페데스탈 모듈 (223) 의 표면 상에 놓이도록 구성될 수도 있지만, 대안적인 실시예들에서, 페데스탈 모듈 (223) 은 페데스탈 모듈 (223) 의 표면 상에 기판 (13) 을 홀딩하기 위한 정전 척, 기계적인 척 또는 진공 척을 포함할 수도 있다. 페데스탈 모듈 (223) 은 목표된 온도로 기판 (13) 을 가열하기 위한 히터 블록 (220) 에 커플링될 수 있다. 대체적으로, 기판 (13) 은 증착되는 재료에 따라 약 25 ℃ 내지 500 ℃ 또는 그 이상의 온도로 유지된다.
특정한 실시예들에서, 증착, 증착 후 처리들, 및/또는 다른 처리 동작들 동안 프로세스 조건들을 제어하기 위해 시스템부 제어기 (228) 가 채택된다. 시스템부 제어기 (228) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들 등을 포함할 수도 있다.
특정한 실시예들에서, 시스템부 제어기 (228) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템부 제어기 (228) 는 프로세싱 동작들의 타이밍, LF 발생기 (202) 및 HF 발생기 (204) 의 동작들의 주파수 및 전력, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들 및 이들의 관련된 혼합물, 히터 블록 (220) 및 샤워헤드 모듈 (211) 의 온도, 챔버 압력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템부 제어 소프트웨어를 실행한다. 일부 실시예들에서 시스템부 제어기 (228) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채택될 수도 있다.
통상적으로 시스템부 제어기 (228) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드, 터치 스크린, 마이크로폰, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
비일시적인 컴퓨터 머신-판독가능 매체가 장치의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어기 파라미터들은 예를 들어, 프로세싱 단계들의 타이밍, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들, 웨이퍼의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들과 같은 프로세스 조건들에 관한 것이다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되며 사용자 인터페이스를 사용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템부 제어기 (650) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 및 디지털 접속부들 상에 출력된다.
시스템부 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 증착 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들의 예들 및 프로그램들의 섹션들은 프로세싱 단계의 기판 타이밍 코드, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들 코드, 챔버의 압력 코드를 포함한다.
본 명세서에 개시된 실시예들에 따라, 샤워헤드 모듈은 과도 가스 플로우 기간들을 감소시키고 샤워헤드 모듈 아래에 지지된 기판으로 전달된 프로세스 가스의 균일성을 증가시키는 배플 장치 (baffle arrangement) 를 포함하는 것이 바람직하다. 배플 장치는 가스 전달 도관을 통해 유동하는 프로세스 가스를 중앙, 내측 환상, 및 외측 환상 스트림들로 분할하는 배플을 포함하고, 중앙 플로우 스트림은 배플을 나가고 대면플레이트 (faceplate) 의 중앙부 위로 방사상으로 외부로 지향되고, 내측 환상 플로우 스트림은 대면플레이트의 내측 환상 영역 위로 배플들을 나가고, 외측 환상 플로우 스트림은 대면플레이트의 외측 환상 영역 위로 배플들을 나간다. 일 실시예에서, 배플 장치는 가스 전달 도관 내의 수직으로 연장하는 내측 튜브 및 상기 캐비티 내에 있으면서 상기 내측 튜브 하부 단부로부터 수평방향 외측으로 연장하는 하부 환상 디스크를 포함하는 제 1 배플, 및 가스 전달 도관 내의 수직으로 연장하는 외측 튜브 및 상기 외측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 상부 환상 디스크를 포함하는 제 2 배플을 포함하는 것이 바람직하고, 상부 환상 디스크의 외경은 하부 환상 디스크의 외경보다 크다. 바람직하게, 블록커 플레이트 (blocker plate) 와 하부 환상 디스크 사이의 제 1 갭과 유체적으로 연통하는 내측 튜브의 직경, 하부 환상 디스크와 상부 환상 디스크 간의 제 3 갭과 유체적으로 연통하는 내측 튜브와 외측 튜브 간의 제 2 갭, 및 상부 환상 디스크와 캐비티의 상부 벽 간의 제 5 갭과 유체적으로 연통하는 외측 튜브와 가스 전달 도관의 벽 간의 제 4 갭은 균일한 농도의 프로세스 가스가 대면플레이트를 통해 반도체 기판의 상부 표면 위의 프로세싱 존으로 전달되도록, 캐비티의 상이한 위치들에서 캐비티로 상이한 플로우 레이트의 프로세스 가스를 제공하고, 대면플레이트는 캐비티의 하부 벽을 형성하고, 캐비티의 상이한 방사상 위치들 각각에서 상이한 플로우 레이트들의 프로세스 가스들이 각각 정상 상태에 도달하는데 필요한 시간은 감소된다.
예를 들어, 도 3 및 도 4는 도 1 및/또는 도 2에 포함될 수 있는 샤워헤드 모듈 (211) 의 단면도를 예시하고, 샤워헤드 모듈 (211) 은 본 명세서에 개시된 실시예들에 따른 배플 장치를 포함하고, 도 4는 도 3에 도시된 샤워헤드 모듈의 분해된 도면을 도시한다. 샤워헤드 모듈 (211) 은 감소된 과도 가스 플로우 시간 기간들로 프로세싱될 기판의 상부 표면으로 프로세스 가스를 전달하도록 동작가능하다. 샤워헤드 모듈 (211) 은 온도 제어되고 RF 전력 공급되는 것이 바람직하다. 온도 제어된 RF 전력 공급된 샤워헤드 모듈의 예시적인 실시예는 전체가 본 명세서에 참조로서 통합된, 공동으로 양도된 미국 특허 출원 번호 제 2013/0316094 호에서 찾을 수 있다. 샤워헤드 모듈 (211) 은 플라즈마 프로세싱 장치의 상단 벽으로 지지되는 것이 바람직하다. 샤워헤드 모듈 지지부의 예시적인 실시예는 전체가 본 명세서에 참조로서 통합된, 공동으로 양도된 미국 특허 출원 번호 제 2009/0260571 호에서 찾을 수 있다.
샤워헤드 모듈 (211) 은 베이스부 (315) 에 연결된 스템부 (305) 을 포함하는 것이 바람직하다. 베이스부는 대면플레이트 (316) 및 백킹 플레이트 (backing plate)(317) 을 포함하고, 대면플레이트는 대면플레이트를 통과하는 가스 통로들을 갖고, 대면플레이트는 그 안에 배치된 캐비티 (320) 의 하부 벽을 형성하고, 플레이트는 캐비티 (320) 의 상부 벽을 형성한다. 스템부 (305) 은 유입구 (307) 및 유출구 (308) 를 갖는 가스 전달 도관 (306) 을 규정하고, 유입구 (307) 내로 전달된 프로세스 가스는 유출구 (308) 를 통해 캐비티 (320) 로 들어간다. 블록커 플레이트 (325) 는 캐비티 (320) 내에 배치되고, 캐비티 (320) 내로 전달된 프로세스 가스의 중앙 스트림 (도 5의 중앙 스트림 (410) 참조) 을 확산시킨다. 샤워헤드 모듈 (211) 의 배플 장치는 블록커 플레이트 (325) 위에 배치된 제 1 환상 배플 (330a) 및 제 2 환상 배플 (330a) 을 포함한다. 제 1 환상 배플 (330a) 은 가스 전달 도관 (306) 내에서 수직으로 연장하는 내측 튜브 (331a) 및 캐비티 (320) 내의 내측 튜브 (331a) 의 하부 단부로부터 외부로 수평으로 연장하는 하부 환상 디스크 (332a) 를 포함하고, 제 2 환상 배플 (330a) 은 가스 전달 도관 (306) 내에서 수직으로 연장하는 외측 튜브 (331b) 및 캐비티 (320) 내의 외측 튜브 (331b) 의 하부 단부로부터 외부로 수평으로 연장하는 하부 환상 디스크 (332b) 를 포함한다. 바람직하게, 제 1 환상 배플 (330a), 제 2 환상 배플 (330a), 및 가스 전달 도관 (306) 은 서로 동축이고, 제 1 환상 배플 (330a) 의 내측 튜브 (331a) 는 제 2 환상 배플 (330a) 의 외측 튜브 (331b) 의 내부에 피팅된다. 바람직하게 제 1 환상 배플 (330a) 의 하부 환상 디스크 (332a) 는 제 2 환상 배플 (330a) 의 상부 환상 디스크 (332b) 아래에 배치되고, 환상 디스크들 (332a, 332b) 은 대면플레이트 (316) 의 하부 표면에 평행하다. 대안적인 실시예들에서, 2 이상의 환상 배플들이 샤워헤드 모듈 (211) 내에 포함될 수 있다.
일 실시예에서, 배플 장치는 환상 배플, 하나 이상의 중간 배플들, 및 외측 배플을 포함하는, 복수의 동심원이고 불침투성의 배플들을 포함할 수 있다. 배플 각각은 복수의 동심원 배플들이 도관을 통해 유동하는 프로세스 가스를 중앙 환상 플로우 스트림, 적어도 2 개의 내측 환상 플로우 스트림들, 및 외측 환상 스트림으로 분할하도록, 가스 전달 도관으로 전달된 프로세스 가스를 내측 플로우 스트림 및 외측 플로우 스트림들로 분할한다. 중앙 플로우 스트림은 배플을 나가고 대면플레이트의 중앙부 위에서 외부로 방사상으로 지향되고, 내측 환상 플로우 스트림은 대면플레이트의 내측 환상 영역 위로 상이한 방사상 위치들에서 배플들을 나가고, 외측 환상 플로우 스트림은 대면플레이트의 외측 환상 영역 위로 배플들을 나간다.
도 5는 도 3의 샤워헤드 모듈 (211) 을 통해 유동하는 프로세스 가스 모델을 도시한다. 예시된 바와 같이, 샤워헤드 모듈 (211) 은 제 1 환상 배플 (330a) 및 제 2 환상 배플 (330a) 을 포함한다. 프로세스 가스 (400) 는 스템부 (305) 의 유입구 (307) 로 전달되고, 제 1 환상 배플 (330a) 의 내측 튜브 (331a) 는 프로세스 가스 (400) 를 중앙 스트림 (410) 및 제 1 환상 스트림 (415) 으로 분할하고 제 2 환상 배플 (330a) 의 외측 튜브 (331b) 는 프로세스 가스를 제 1 환상 스트림 (415) 및 제 2 환상 스트림 (420) 으로 분할한다. 중앙 스트림 (410) 은 블록커 플레이트 (325) 에 의해 방사상으로 외부로 확산될 때까지 제 1 환상 배플 (330a) 의 내측 튜브 (331a) 를 통해 트래블하고, 내측 튜브 (331a) 는 블록커 플레이트 (325) 와 하부 환상 디스크 (332a) 간의 제 1 갭과 유체적으로 연통한다. 제 1 환상 스트림 (415) 은 제 1 환상 배플 (330a) 의 하부 환상 디스크 (332a) 에 의해 확산될 때까지 제 1 환상 배플 (330a) 의 내측 튜브 (331a) 및 제 2 환상 배플 (330a) 의 외측 튜브 (331b) 사이에서 트래블하고, 내측 튜브 (331a) 와 외측 튜브 (331b) 간의 제 2 갭은 하부 환상 디스크 (332a) 와 상부 환상 디스크 (332b) 간의 제 3 갭과 유체적으로 연통한다. 제 2 환상 스트림 (420) 은 제 2 환상 배플 (330a) 의 상부 환상 디스크 (332b) 에 의해 확산될 때까지 제 2 환상 배플 (330a) 의 외측 튜브 (331b) 와 가스 전달 도관 (306) 의 내측 벽을 형성하는 스템부 (305) 사이에서 트래블하고, 외측 튜브 (331b) 와 벽 간의 제 4 갭은 상부 환상 디스크 (332b) 와 캐비티 (320) 의 상부 벽 간의 제 5 갭과 유체적으로 연통한다. 이러한 방식으로, 중앙 스트림 (410), 제 1 환상 스트림 (415), 및 제 2 환상 스트림 (420) 이 모두 대면플레이트 (316) 의 상이한 방사상 위치들로 공급되어 대면플레이트 (316) 를 통해 전달된 균일한 농도의 프로세스 가스가 반도체 기판의 상부 표면 위의 프로세싱 존으로 제공되도록 중앙 스트림 (410), 제 1 환상 스트림 (415) (즉, 내측 환상 스트림), 및 제 2 환상 스트림 (420) (즉 외측 환상 스트림) 의 플로우 레이트들가 제어될 수 있다. 예를 들어, 예시된 바와 같이, 중앙 스트림 (410) 은 대면플레이트 (316) 의 중앙부 (410a) 로 전달되어, 대면플레이트 (316) 의 중앙부 (410a) 를 통해 그 아래에 지지된 기판의 중앙 영역으로 전달된다. 제 1 환상 스트림 (415) 은 대면플레이트 (316) 의 중간 영역 (415a) 으로 전달되어, 대면플레이트 (316) 의 중간 영역 (415a) 을 통해 그 아래에 지지된 기판의 중간 영역으로 전달되고, 제 2 환상 스트림 (420) 은 대면플레이트의 외측 영역 (420a) 으로 전달되어, 대면플레이트 (316) 의 외측 영역 (420a) 을 통해 그 아래에 지지된 기판의 외측 영역으로 전달된다.
환상 배플들 (330a, 330b) 이 프로세스 가스의 플로우를 중앙 스트림 (410), 내측 환상 스트림 (415), 및 외측 환상 스트림 (415) 에 대해 미리 결정된 비율들로 분할하도록 환상 배플들 (330a, 330b) 각각의 내측 및 외측 튜브들 (331a, 331b) 각각 및 하부 및 상부 환상 디스크들 (332a, 332b) 각각의 직경들이 선택된다. 따라서, 중앙부 (410a) 로 들어가는 프로세스 가스 플로우 (400) 의 중앙 스트림 (410) 은 내측 환상 스트림 (415) 의 플로우가 기판의 중간 영역에 도달하는 것과 동시에, 그리고 외측 환상 스트림 (420b) 의 플로우가 기판의 외측 영역에 도달하는 것과 동시에 기판의 중앙 영역에 도달하여, 기판의 상부 표면으로 균일한 프로세스 가스 플로우를 제공한다. 바람직하게, 환상 배플들 (330a, 330b) 각각의 하부 및 상부 환상 디스크들 (332a, 332b) 각각의 직경은 대면플레이트 (316) 의 직경의 약 0.3 배 내지 약 0.95 배이다. 또한, 환상 배플들 (330a, 330b) 각각의 내측 및 외측 튜브들 (331a, 331b) 각각 및 하부 및 상부 환상 디스크들 (332a, 332b) 각각의 직경들 및 이들 간의 갭 (예를 들어, 제 1 내지 제 5 갭) 을 제어 (즉 최적화) 함으로써, 단일 질량 유량 제어기가 기판 위의 프로세싱 존으로 프로세스 가스를 전달하도록 사용될 수 있다.
도 6은 배플 장치를 포함하지 않는 제 1 샤워헤드 모듈 (600), 대면플레이트의 직경의 0.6 배의 환상 디스크 직경을 갖는 단일 환상 배플을 포함하는 제 2 샤워헤드 모듈 (605), 및 대면플레이트의 직경의 0.8 배의 환상 디스크 직경을 갖는 단일 환상 배플을 포함하는 제 3 샤워헤드 모듈 (610) 에 대해 소정의 펄스 시간 후의 기판의 상부 표면 상의 누적된 전구체 농도의 그래프를 도시한다. 도시된 바와 같이, 환상 배플을 포함하지 않는 제 1 샤워헤드 모듈 (600) 에 대한 전구체 농도는 기판의 중앙 영역에서 높은 전구체 농도 및 기판의 에지부에서 낮은 전구체 농도를 갖는 반면, 환상 배플들이 제 2 및 제 3 환상 배플들 (605, 610) 각각에 대해 사용될 때, 기판의 상부 표면에 걸친 전구체 농도의 중심 대 에지 변화는 감소한다. 도 7은 배플 장치를 포함하지 않는 제 1 샤워헤드 모듈 (600), 대면플레이트의 직경의 0.6 배의 환상 디스크 직경을 갖는 단일 환상 배플을 포함하는 제 2 샤워헤드 모듈 (605), 및 대면플레이트의 직경의 0.8 배의 환상 디스크 직경을 갖는 단일 환상 배플을 포함하는 제 3 샤워헤드 모듈 (610) 에 대해 기판의 상부 표면에 걸친 전구체 농도의 퍼센트 불균일성을 도시한다. 대면플레이트의 직경의 약 0.6 배의 직경을 갖는 환상 디스크를 갖는 배플을 포함하는 제 2 샤워헤드 모듈 (605) 에 대한 중심 대 에지 변화는 약 11 %의 변화를 갖고, 대면플레이트의 직경의 약 0.8 배의 직경을 갖는 환상 디스크를 갖는 배플을 포함하는 제 3 샤워헤드 모듈 (610) 에 대한 중심 대 에지 변화는 약 8 %의 변화를 갖는 반면, 환상 배플을 포함하지 않는 제 1 샤워헤드 모듈 (600) 은 약 64 %의 농도 변화를 갖는다. 따라서, 전구체 균일성은 최적화된 환상 배플들을 사용하여 8배 이상 증가될 수 있다.
도 8은 상이한 테스트된 플로우 레이트들에 대한 환상 배플들에 의한 플로우 분할의 민감도의 그래프를 도시한다. 도시된 바와 같이, 기준 플로우 레이트의 0.5 배 내지 5 배의 플로우 레이트로 가변하는 플로우 레이트는 대면플레이트의 직경의 0.4 배, 대면플레이트의 직경의 0.6 배, 및 대면플레이트의 직경의 0.8 배의 직경을 갖는 환상 디스크들을 갖는 환상 배플들에 대해 일정하게 유지된다. 따라서, 단일 질량 유량 제어기가 기판의 영역 각각에 프로세스 가스를 전달하기 위해 사용될 수 있다.
배플 장치를 포함하는 반도체 기판 프로세싱 장치가 구체적인 실시예들을 참조하여 상세히 기술되지만, 첨부된 청구항들의 범위로부터 벗어나지 않고 다양한 변화들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 명백할 것이다.

Claims (20)

  1. 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치로서,
    반도체 기판들이 프로세싱되는 화학적 격리 챔버;
    프로세스 가스를 상기 화학적 격리 챔버 내로 공급하기 위하여 상기 화학적 격리 챔버와 유체적으로 연통하는 프로세스 가스 소스;
    프로세스 가스를 상기 프로세스 가스 소스로부터, 대면플레이트 (faceplate) 통한 가스 통로들을 갖는 상기 대면플레이트를 통해서, 상기 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 전달하는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 상기 샤워헤드 모듈의 하부 단부에서의 캐비티 (cavity) 와 유체적으로 연통하는 가스 전달 도관, 상기 가스 전달 도관 및 상기 캐비티 내에 있는 베플 장치 (baffle arrangement), 및 상기 캐비티 내에서 상기 베플 장치 아래에 배치된 블록커 플레이트 (blocker plate) 를 포함하는, 상기 샤워헤드 모듈; 및
    상기 샤워헤드 모듈의 상기 대면플레이트에 인접하며 상기 기판의 프로세싱 동안에 상기 대면플레이트 아래의 상기 프로세싱 존 내에서 상기 기판을 지지하도록 구성된 기판 페데스탈 (pedestal) 모듈을 포함하며,
    상기 베플 장치는,
    상기 가스 전달 도관을 통해서 흐르는 프로세스 가스를 중앙 플로우 스트림 (flow stream), 내측 환상 (inner annular) 플로우 스트림, 및 외측 환상 플로우 스트림으로 분할하는 베플들을 포함하며,
    상기 중앙 플로우 스트림은 상기 블록커 플레이트에 의해서 방향이 전환된 후에 상기 베플 장치를 나가고 상기 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며,
    상기 내측 환상 플로우 스트림은 상기 대면플레이트의 내측 환상 영역 위에서 상기 베플 장치를 나가고,
    상기 외측 환상 플로우 스트림은 상기 대면플레이트의 외측 환상 영역 위에서 상기 베플 장치를 나가는, 반도체 기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 베플 장치는,
    상기 가스 전달 도관 내에 있는 수직으로 연장하는 내측 튜브 (inner tube) 및 상기 캐비티 내에 있으면서 상기 내측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 하부 환상 디스크 (annular disc) 를 포함하는 제 1 베플; 및
    상기 가스 전달 도관 내에 있는 수직으로 연장하는 외측 튜브 (outer tube) 및 상기 캐비티 내에 있으면서 상기 외측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 상부 환상 디스크 (annular disc) 를 포함하는 제 2 베플을 포함하며,
    상기 상부 환상 디스크의 외경은 상기 하부 환상 디스크의 외경보다 크며,
    상기 블록커 플레이트와 상기 하부 환상 디스크 간의 제 1 갭과 유체적으로 연통하는 상기 내측 튜브의 직경, 상기 하부 환상 디스크와 상기 상부 환상 디스크 간의 제 3 갭과 유체적으로 연통하는, 상기 내측 튜브와 상기 외측 튜브 간의 제 2 갭, 및 상기 상부 환상 디스크와 상기 캐비티의 상부 벽 간의 제 5 갭과 유체적으로 연통하는, 상기 외측 튜브와 상기 가스 전달 도관의 벽 간의 제 4 갭은,
    균일한 농도의 프로세스 가스가 상기 캐비티의 하부 벽을 형성하는 상기 대면플레이트를 통해서 반도체 기판의 상부 표면 위의 프로세싱 존으로 전달되도록, 상기 캐비티의 상이한 방사상 위치들에서 상기 캐비티 내로의 프로세스 가스의 상이한 플로우 레이트를 제공하는, 반도체 기판 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 반도체 기판 프로세싱 장치는,
    (a) 상기 프로세싱 존 내에서 상기 프로세스 가스를 플라즈마 상태로 에너자이징하도록 구성된 RF 에너지 소스;
    (b) 상기 반도체 기판 프로세싱 장치에 의해서 수행되는 프로세스들을 제어하도록 구성된 제어 시스템;
    (c) 상기 반도체 기판 프로세싱 장치의 제어를 위한 프로그램 인스트럭션들을 포함하는 비일시적 컴퓨터 머신-판독가능한 매체; 및/또는
    (d) 상기 프로세싱 존으로부터 프로세스 가스를 배기시키기 위하여 상기 프로세싱 존과 유체적으로 연통하는 진공 소스를 포함하는, 반도체 기판 프로세싱 장치.
  4. 제 1 항에 있어서,
    백킹 플레이트 (backing plate) 가 상기 캐비티의 상부 벽을 형성하는, 반도체 기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 가스 전달 도관을 들어가는 상기 프로세스 가스의 플로우 레이트를 제어하도록 동작가능한 단일 질량 유량 제어기를 더 포함하는, 반도체 기판 프로세싱 장치.
  6. 제 2 항에 있어서,
    상기 상부 환상 디스크의 외경 및 상기 하부 환상 디스크의 외경은 상기 대면플레이트의 외경의 약 0.3 내지 0.95 배인, 반도체 기판 프로세싱 장치.
  7. 제 2 항에 있어서,
    (a) 상기 상부 환상 디스크 및 상기 하부 환상 디스크는 상기 대면플레이트와 평행하고/하거나,
    (b) 상기 내측 튜브와 상기 외측 튜브는 상기 가스 전달 도관과 동축인, 반도체 기판 프로세싱 장치.
  8. 제 1 항에 있어서,
    상기 가스 전달 도관은 상기 샤워헤드 모듈의 스템부 (stem) 를 형성하며,
    상기 캐비티는 상기 샤워헤드 모듈의 베이스부 (base) 내에 배치되며,
    상기 스템부는 상기 베이스부에 연결된, 반도체 기판 프로세싱 장치.
  9. 제 1 항에 있어서,
    상기 배플 장치는 내측 베플, 하나 이상의 중간 베플들 및 외측 베플을 포함하는 복수의 동심 베플들을 포함하며,
    상기 복수의 동심 베플들이 상기 가스 전달 도관을 통해서 흐르는 프로세스 가스를 상기 중앙 플로우 스트림, 적어도 2 개의 상기 내측 환상 플로우 스트림들 및 상기 외측 환상 플로우 스트림으로 분할하도록, 각 베플은 상기 가스 전달 도관 내로 전달된 프로세스 가스를 내측 플로우 스트림 및 외측 플로우 스트림으로 분할하며,
    상기 중앙 플로우 스트림은 상기 베플들을 나가서 상기 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며,
    상기 내측 환상 플로우 스트림들은 상기 대면플레이트의 내측 환상 영역 위의 상이한 방사상 위치들에서 상기 베플들을 나가며,
    상기 외측 환상 플로우 스트림은 상기 대면플레이트의 외측 환상 영역 위에서 상기 베플들을 나가는, 반도체 기판 프로세싱 장치.
  10. 반도체 기판 프로세싱 장치의 샤워헤드 모듈로서,
    상기 샤워헤드 모듈은 프로세스 가스를 프로세스 가스 소스로부터, 대면플레이트 (faceplate) 통한 가스 통로들을 갖는 상기 대면플레이트를 통해서, 상기 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 전달하며,
    상기 샤워헤드 모듈은,
    상기 샤워헤드 모듈의 하부 단부에서의 캐비티 (cavity) 와 유체적으로 연통하는 가스 전달 도관;
    상기 가스 전달 도관 및 상기 캐비티 내에 있는 베플 장치 (baffle arrangement); 및
    상기 캐비티 내에서 상기 베플 장치 아래에 배치된 블록커 플레이트 (blocker plate) 를 포함하며,
    상기 베플 장치는,
    상기 가스 전달 도관을 통해서 흐르는 프로세스 가스를 중앙 플로우 스트림 (flow stream), 내측 환상 (inner annular) 플로우 스트림, 및 외측 환상 플로우 스트림으로 분할하는 베플들을 포함하며,
    상기 중앙 플로우 스트림은 상기 블록커 플레이트에 의해서 방향이 전환된 후에 상기 베플 장치를 나가고 상기 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며,
    상기 내측 환상 플로우 스트림은 상기 대면플레이트의 내측 환상 영역 위에서 상기 베플 장치를 나가고,
    상기 외측 환상 플로우 스트림은 상기 대면플레이트의 외측 환상 영역 위에서 상기 베플 장치를 나가는, 샤워헤드 모듈.
  11. 제 10 항에 있어서,
    상기 베플 장치는,
    상기 가스 전달 도관 내에 있는 수직으로 연장하는 내측 튜브 (inner tube) 및 상기 캐비티 내에 있으면서 상기 내측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 하부 환상 디스크 (annular disc) 를 포함하는 제 1 베플; 및
    상기 가스 전달 도관 내에 있는 수직으로 연장하는 외측 튜브 (outer tube) 및 상기 캐비티 내에 있으면서 상기 외측 튜브의 하부 단부로부터 수평방향 외측으로 연장하는 상부 환상 디스크 (annular disc) 를 포함하는 제 2 베플을 포함하며,
    상기 상부 환상 디스크의 외경은 상기 하부 환상 디스크의 외경보다 크며,
    상기 블록커 플레이트와 상기 하부 환상 디스크 간의 제 1 갭과 유체적으로 연통하는 상기 내측 튜브의 직경, 상기 하부 환상 디스크와 상기 상부 환상 디스크 간의 제 3 갭과 유체적으로 연통하는, 상기 내측 튜브와 상기 외측 튜브 간의 제 2 갭, 및 상기 상부 환상 디스크와 상기 캐비티의 상부 벽 간의 제 5 갭과 유체적으로 연통하는, 상기 외측 튜브와 상기 가스 전달 도관의 벽 간의 제 4 갭은,
    균일한 농도의 프로세스 가스가 상기 캐비티의 하부 벽을 형성하는 상기 대면플레이트를 통해서 반도체 기판의 상부 표면 위의 프로세싱 존으로 전달되도록, 상기 캐비티의 상이한 방사상 위치들에서 상기 캐비티 내로의 프로세스 가스의 상이한 플로우 레이트를 제공하는, 샤워헤드 모듈.
  12. 제 10 항에 있어서,
    백킹 플레이트 (backing plate) 가 상기 캐비티의 상부 벽을 형성하는, 샤워헤드 모듈.
  13. 제 11 항에 있어서,
    상기 상부 환상 디스크의 외경 및 상기 하부 환상 디스크의 외경은 상기 대면플레이트의 외경의 약 0.3 내지 0.95 배인, 샤워헤드 모듈.
  14. 제 11 항에 있어서,
    (a) 상기 상부 환상 디스크 및 상기 하부 환상 디스크는 상기 대면플레이트와 평행하고/하거나,
    (b) 상기 내측 튜브와 상기 외측 튜브는 상기 가스 전달 도관과 동축인, 샤워헤드 모듈.
  15. 제 11 항에 있어서,
    상기 가스 전달 도관은 상기 샤워헤드 모듈의 스템부 (stem) 를 형성하며,
    상기 캐비티는 상기 샤워헤드 모듈의 베이스부 (base) 내에 배치되며,
    상기 스템부는 상기 베이스부에 연결된, 샤워헤드 모듈.
  16. 제 10 항에 있어서,
    상기 배플 장치는 내측 베플, 하나 이상의 중간 베플들 및 외측 베플을 포함하는 복수의 동심 베플들을 포함하며,
    상기 복수의 동심 베플들이 상기 가스 전달 도관을 통해서 흐르는 프로세스 가스를 상기 중앙 플로우 스트림, 적어도 2 개의 상기 내측 환상 플로우 스트림들 및 상기 외측 환상 플로우 스트림으로 분할하도록, 각 베플은 상기 가스 전달 도관 내로 전달된 프로세스 가스를 내측 플로우 스트림 및 외측 플로우 스트림으로 분할하며,
    상기 중앙 플로우 스트림은 상기 베플들을 나가서 상기 대면플레이트의 중앙 부분 위에서 방사상 외측으로 향하며,
    상기 내측 환상 플로우 스트림들은 상기 대면플레이트의 내측 환상 영역 위의 상이한 방사상 위치들에서 상기 베플들을 나가며,
    상기 외측 환상 플로우 스트림은 상기 대면플레이트의 외측 환상 영역 위에서 상기 베플들을 나가는, 샤워헤드 모듈.
  17. 제 11 항에 기재된 샤워헤드 모듈을 형성하는 방법으로서,
    상기 샤워헤드 모듈의 상기 가스 전달 도관 내에서 상기 외측 튜브 및 상기 내측 튜브를 동축방식으로 지지하는 단계를 포함하는, 샤워헤드 모듈 형성 방법.
  18. 제 17 항에 있어서,
    상기 상부 환상 디스크 및 상기 하부 환상 디스크를 상기 대면 플레이트에 대해 평행하게 지지하는 단계를 포함하는, 샤워헤드 모듈 형성 방법.
  19. 제 17 항에 있어서,
    (a) 상기 내측 튜브의 직경, 상기 제 1 갭, 상기 제 2 갭, 상기 제 3 갭, 상기 제 4 갭 및 상기 제 5 갭의 치수들을, 상기 대면플레이트 아래에서 지지되게 구성된 기판의 상부 표면으로 전달된 프로세스 가스의 농도 균일도가 상기 상부 표면에 걸쳐서 12 % 편차보다 작은 편차를 가지도록, 선택하는 단계; 또는
    (b) 상기 내측 튜브의 직경, 상기 제 1 갭, 상기 제 2 갭, 상기 제 3 갭, 상기 제 4 갭 및 상기 제 5 갭의 치수들을, 상기 대면플레이트 아래에서 지지되게 구성된 기판의 상부 표면으로 전달된 프로세스 가스의 농도 균일도가 상기 상부 표면에 걸쳐서 8 % 편차보다 작은 편차를 가지도록, 선택하는 단계를 포함하는, 샤워헤드 모듈 형성 방법.
  20. 제 1 항에 따른 반도체 프로세싱 장치 내에서 반도체 기판을 프로세싱하는 방법으로서,
    상기 프로세스 가스를 상기 프로세스 가스 소스로부터 상기 프로세싱 존으로 공급하는 단계; 및
    상기 프로세싱 존 내에서 반도체 기판을 프로세싱하는 단계를 포함하며,
    상기 프로세싱은 CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), 에칭, 레지스터 제거 및/또는 PEPDL (plasma enhanced pulsed deposition layer) 중 적어도 하나인, 반도체 기판 프로세싱 방법.
KR1020140183520A 2013-12-18 2014-12-18 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치 KR102376429B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220031961A KR102581543B1 (ko) 2013-12-18 2022-03-15 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361917590P 2013-12-18 2013-12-18
US61/917,590 2013-12-18

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220031961A Division KR102581543B1 (ko) 2013-12-18 2022-03-15 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치

Publications (2)

Publication Number Publication Date
KR20150071683A true KR20150071683A (ko) 2015-06-26
KR102376429B1 KR102376429B1 (ko) 2022-03-17

Family

ID=53367713

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140183520A KR102376429B1 (ko) 2013-12-18 2014-12-18 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
KR1020220031961A KR102581543B1 (ko) 2013-12-18 2022-03-15 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220031961A KR102581543B1 (ko) 2013-12-18 2022-03-15 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치

Country Status (3)

Country Link
US (1) US10351955B2 (ko)
KR (2) KR102376429B1 (ko)
TW (1) TWI654333B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180131423A (ko) * 2017-05-30 2018-12-10 램 리써치 코포레이션 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
KR102474847B1 (ko) * 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
CN113445129A (zh) * 2021-06-21 2021-09-28 无锡吴越半导体有限公司 一种防止工艺气体回流的气相外延反应腔结构
CN114059020B (zh) * 2022-01-07 2022-03-18 北京航空航天大学 一种提高ps-pvd沉积过程中气相比例的装置及方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
KR20010095991A (ko) * 2000-04-14 2001-11-07 엄평용 화학기상증착 장치
KR20020063188A (ko) * 1999-12-22 2002-08-01 아익스트론 아게 화학증착반응기와 반응기용 처리챔버
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
KR20070074222A (ko) * 2006-01-09 2007-07-12 삼성전자주식회사 샤워 헤드
KR20080000387A (ko) * 2006-06-27 2008-01-02 삼성전자주식회사 반도체 제조장치의 샤워헤드
KR20130093113A (ko) * 2010-08-27 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 고 복사율 표면을 갖는 가스 분배 샤워헤드

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
EP0753082B1 (de) * 1994-03-29 1999-07-07 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
WO1996004409A1 (en) * 1994-08-01 1996-02-15 Franz Hehmann Selected processing for non-equilibrium light alloys and products
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6342135B1 (en) 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US6090211A (en) * 1996-03-27 2000-07-18 Matsushita Electric Industrial Co., Ltd. Apparatus and method for forming semiconductor thin layer
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5888303A (en) * 1997-04-07 1999-03-30 R.E. Dixon Inc. Gas inlet apparatus and method for chemical vapor deposition reactors
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
DE19855637A1 (de) * 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6945266B2 (en) * 2001-10-19 2005-09-20 Metallic Power, Inc. Manifold for fuel cell system
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20050081788A1 (en) 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JPWO2004111297A1 (ja) 2003-06-10 2006-07-20 東京エレクトロン株式会社 処理ガス供給機構、成膜装置および成膜方法
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US7431772B2 (en) 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
DE102005056320A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
DE102005056324A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
DE102006001417A1 (de) * 2006-01-10 2007-07-12 Endress + Hauser Flowtec Ag Vorrichtung zur Umlenkung eines in einer Rohrleitung strömenden Mediums
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP4193883B2 (ja) * 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
JP4945185B2 (ja) * 2006-07-24 2012-06-06 株式会社東芝 結晶成長方法
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP2011501409A (ja) * 2007-10-10 2011-01-06 イザ,マイケル 化学蒸着反応チャンバ
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
CN101924023A (zh) * 2009-06-09 2010-12-22 日本派欧尼株式会社 Iii族氮化物半导体的气相生长装置
JP5324347B2 (ja) * 2009-07-15 2013-10-23 大陽日酸イー・エム・シー株式会社 気相成長装置
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
DE112011104446B4 (de) * 2010-12-20 2023-06-22 Samsung Electronics Co., Ltd. Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US20130149866A1 (en) 2011-12-12 2013-06-13 Texas Instruments Incorporated Baffle plate for semiconductor processing apparatus
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130316094A1 (en) * 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
US9506484B2 (en) * 2013-05-17 2016-11-29 Cameron International Corporation Flow conditioner and method for optimization

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
KR20020063188A (ko) * 1999-12-22 2002-08-01 아익스트론 아게 화학증착반응기와 반응기용 처리챔버
KR20010095991A (ko) * 2000-04-14 2001-11-07 엄평용 화학기상증착 장치
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
KR20070074222A (ko) * 2006-01-09 2007-07-12 삼성전자주식회사 샤워 헤드
KR20080000387A (ko) * 2006-06-27 2008-01-02 삼성전자주식회사 반도체 제조장치의 샤워헤드
KR20130093113A (ko) * 2010-08-27 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 고 복사율 표면을 갖는 가스 분배 샤워헤드

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180131423A (ko) * 2017-05-30 2018-12-10 램 리써치 코포레이션 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈

Also Published As

Publication number Publication date
US20150167168A1 (en) 2015-06-18
TW201536947A (zh) 2015-10-01
US10351955B2 (en) 2019-07-16
KR102581543B1 (ko) 2023-09-21
KR102376429B1 (ko) 2022-03-17
KR20220038628A (ko) 2022-03-29
TWI654333B (zh) 2019-03-21

Similar Documents

Publication Publication Date Title
KR102581543B1 (ko) 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US11634817B2 (en) Substrate pedestal including backside gas-delivery tube
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
JP7023665B2 (ja) 基板処理装置、基板の処理方法
TWI708860B (zh) 具有邊緣充氣部噴淋頭組件之沉積設備
JP6904665B2 (ja) 高温基板台座モジュール及びその構成要素
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
CN104250728B (zh) 具有气封的化学沉积腔室
CN110444460B (zh) 等离子体处理装置的应用方法
TW202006180A (zh) 氣體供給系統、電漿處理裝置及氣體供給系統之控制方法
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
US20170016115A1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
US20190338420A1 (en) Pressure skew system for controlling center-to-edge pressure change
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant