JP2020529133A - 貫通マスク相互接続部の作製における電気的酸化金属除去 - Google Patents

貫通マスク相互接続部の作製における電気的酸化金属除去 Download PDF

Info

Publication number
JP2020529133A
JP2020529133A JP2020504240A JP2020504240A JP2020529133A JP 2020529133 A JP2020529133 A JP 2020529133A JP 2020504240 A JP2020504240 A JP 2020504240A JP 2020504240 A JP2020504240 A JP 2020504240A JP 2020529133 A JP2020529133 A JP 2020529133A
Authority
JP
Japan
Prior art keywords
metal
electrolyte
cathode
semiconductor substrate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020504240A
Other languages
English (en)
Inventor
ソーケルソン・カリ
アブラハム・リチャード・ジー.
メイヤー・スティーブン・ティー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020529133A publication Critical patent/JP2020529133A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • C25F3/14Etching locally
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/22Polishing of heavy metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02321Reworking

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Weting (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一実装形態では、ウエハ処理方法は、第1の特徴部の充填率と第2の特徴部の充填率との比率がR1であるように、複数の貫通レジスト凹形特徴部を金属で充填すること;その後、第1の特徴部からの金属除去率と第2の特徴部からの金属除去率との比率がR1を超えるように、金属を電気的化学的に除去することを含み、充填体の均一性を改善させる。いくつかの実施形態では、方法は、陽極側でバイアスをかけられる基板を、電解質と接触させることを含み、電解質が、基板の作用表面に実質的に平行である方向で横断流の成分を有するようにする。方法は、基板表面で横断流を生成するように構成した装置内で実施することができる。いくつかの実装形態では、方法は、均一性の改善を達成するため、個別の電気的化学的形態を使用する。【選択図】図13

Description

関連出願の相互参照
本出願は、2018年7月19日出願、名称「Electro−Oxidative Metal Removal in Through Mask Interconnect Fabrication」、発明者名Thorkelsson等の米国特許出願第16/040,407号、及び2017年7月28日出願、名称「Electro−Oxidative Method and Apparatus for Improving Through Mask Interconnect Uniformity」、発明者名Mayer等の米国仮特許出願第62/538,202号の優先権を請求するものであり、これらの全体が参照により本明細書に組み込まれる。
本発明は、電気的化学的金属除去を使用して金属層の均一性を改善する装置及び方法に関する。一実装形態では、本発明は、ウエハ内均一性、ダイ内均一性及び/又は電気的めっきされた貫通マスク特徴部の特徴部内の均一性を改善する装置及び方法に関する。
貫通マスク電気的めっきは、半導体デバイス作製のいくつかの処理方式において金属バンプ及びピラーを形成する方法である。貫通マスク電気的めっきを用いる標準的な工程には、以下のステップを伴う。まず、基板(例えば、平面な露出表面を有する半導体基板)を、物理蒸着(PVD)等のあらゆる適切な方法によって堆積し得る薄い導電性シード層材料(例えば、Cu又はNiシード層)で被覆する。次に、フォトレジスト等の非導電性マスク層をシード層の上に堆積し、次に、凹形特徴部を画定するようにパターン化し、パターン化により、各凹形特徴部の底部でシード層を露出させる。パターン化の後、基板の露出表面は、フィールド領域内に非導電性マスク部分、及び凹形特徴部の底部分に導電性シード層を含む。
次に、貫通マスク電気的めっき(又はフォトレジストの場合、貫通レジスト電気的めっき)が続く。貫通レジスト電気的めっきにおいて、基板は、電気接点がシード層、最も典型的には、基板の周辺にもたらされるように、電気的めっき装置内に配置される。装置は、陽極、及びめっきされる1つ又は複数の金属のイオンを含有する電解質を収容する。基板は、陰極側でバイアスをかけられ、電解質に浸漬され、電解質からの金属イオンが、式(1)で示されるように基板表面で還元され、式中、Mは金属(例えば銅)であり、nは、還元の間に移動する電子の数である。
導電性シード層は、凹形特徴部の底部分でのみ露出されているため、電気的化学的堆積は、(凹形特徴部に金属を充填する前)凹形特徴部内でのみ生じ、フィールド上では生じず、いくつかの金属充填凹部がフォトレジスト層内に埋め込まれることになる。
電気的めっきの後、マスクは、例えば、従来の湿式又は乾式剥離方法によって除去され、これにより、いくつかの自立した金属バンプ又はピラーを有する基板がもたらされる。
本明細書で提供する背景技術の説明は、本開示の文脈を全般的に呈する目的のためである。この背景技術の節において説明する範囲についての、本明細書で名前を挙げた発明者等の研究、及び他の場合には出願当時に従来技術とみなさない可能性がある説明の態様は、本開示に対する従来技術として明示的にも又は暗示的にも認めるものではない。
電気的酸化工程を用いて、半導体基板上の金属層の均一性を改善する、特に、金属充填貫通マスク特徴部の均一性を改善する方法及び装置を提供する。本明細書で提供する方法及び装置は、ウエハ全体にわたり、ダイにわたり、及び/又は金属充填特徴部内の金属厚さのばらつきを著しく低減することができる。更に、標的均一性を有する金属層を得るという目標に関し、本明細書で説明する金属電着、その後、電気的平坦化を実施するツールの処理能力は、いくつかの実施形態では、電着用のみに構成したツールの処理能力よりも1.5〜2倍大きくすることができる。いくつかの実施形態では、電気的平坦化は、約5〜10μm/分の間等、少なくとも約2μm/分の高速金属除去率で実行することができ、比較的高速の金属電着の後、約2〜3μm/分の間等、少なくとも約1μm/分の堆積率で実施することができる。
一態様では、半導体基板を処理する方法を提供し、方法は、(a)露出金属(例えば銅)を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質に浸漬すること;(c)露出金属の一部分を電気的化学的に除去し、金属の均一性を改善する一方で、半導体基板の作用表面に接触する電解質の横断流を、半導体基板を回転させる以外の方法によって、半導体基板の作用表面に実質的に平行である方向でもたらすことを含む。いくつかの実施形態では、回転が電解質の流れに寄与してもよいが、流れの少なくとも一部は、回転以外の方法を使用して生成する。いくつかの実施形態では、電解質の横断流は、電気的化学的金属除去時間の少なくとも50%の間で与える。
いくつかの実施形態では、本明細書で提供する半導体基板の作用表面は、(a)露出誘電体を更に備え、露出金属は、露出誘電体の下で、導電層(例えば、金属シード層)によって接続した複数の露出金属特徴部(例えば、貫通マスク特徴部)を備える。例えば、本明細書で提供する半導体基板の作用表面は、(a)露出フォトレジストを(露出誘電体として)含んでよく、基板は、露出フォトレジストの下で導電層によって接続した、少なくとも約1:1の縦横比(深さは、特徴部の充填部分及び非充填部分の両方を含む)を有する複数の銅充填特徴部を含んでよく、銅充填特徴部には、少なくとも約50%まで銅が充填される。
提供する金属の電気的化学的除去によって改善される均一性は、ウエハ内均一性、ダイ内均一性及び特徴部内均一性のうち1つ又は複数を含むことができる。いくつかの実施形態では、電気的化学的金属除去は、ダイ内均一性及び特徴部内均一性の両方を改善する。
一実装形態では、電解質の横断流をもたらすことは、電解質を装置の入口に流入させ、電解質を装置の出口から流出させることを含み、入口及び出口は、半導体基板の作用表面の方位角的に対向する外周位置に近接して配置される。いくつかの実装形態では、横断流をもたらすことは、往復水掻き運動により横断流を形成することを含む。いくつかの実装形態では、横断流をもたらすことは、半導体基板の作用表面に実質的に直交する方向で衝突する流れから、横断流に電解質の流れの進路を変えることを含む。いくつかの実施形態では、電解質の横断流は、10mm以下の幅を有する間隙内に供給し、間隙は、イオン抵抗性イオン透過性要素と基板の作用表面との間にある。一実装形態では、間隙は、側壁、入口及び出口を有し、電解質は、基板の作用表面に実質的に平行に入口から出口まで間隙内を流れる。
好ましくは、横方向の電解質の流れは、(基板中心に交差し、基板の作用表面に平行な方向で、基板中心に近接する電解質において)基板の作用表面の中心点にわたり、少なくとも約10cm/秒等、少なくとも約3cm/秒の速度を有する。いくつかの実施形態では、方法は、電気的化学的金属除去の間、約1から30rpmの間の回転率で半導体基板を回転させることを更に伴う。
いくつかの実施形態では、方法は、複数の貫通マスク特徴部を有する基板を処理することを伴い、方法は、(a)及び電気的化学的金属除去の前、(c)の後に電気的化学的金属除去の後に得られる金属の標的厚さを少なくとも10%超える厚さまで、複数の凹形特徴部内に、金属を電気的にめっきすることを伴う。いくつかの実施形態では、金属は、標的厚さを約20〜30%超える厚さまで、複数の凹形特徴部内に電気的めっきされる。いくつかの実施形態では、電気的めっきは、電気的化学的金属除去で使用する電解質とは異なる電解質内で実施され、いくつかの実装形態では、電気的めっき及び電気的化学的金属除去は、異なるセルで実施される。
多くの実施形態では、電気的化学的金属除去には、粘性水ベースの電解質又は水含有電解質が好ましい。例えば、いくつかの実施形態では、電解質は、少なくとも約7センチポイズ等、少なくとも約4センチポイズの粘度を有する導電性粘性液体である。粘性電解質を含むいくつかの例では、電解質は、水、並びにリン酸及び/又は1−ヒドロキシエチリデン−1,1ジホスホン酸(HEDP)等の濃縮粘性酸を含む。いくつかの実施形態では、電解質は、析出物の生成に対する抵抗性がある高過飽和金属塩溶液を生成し得るように構成される。長く遅い工程の後に析出物がそのような電解質中に生成されると、析出物は、大型結晶ではなく、非晶質微粒子及び/又は水和材料の形態にある。いくつかの実施形態では、電気的化学的金属除去における半導体基板の浸漬で提供する電解質は、基板の作用表面上に露出されるのと同じ金属の金属イオンを含む。
いくつかの実施形態では、貫通マスク凹形特徴部を含む基板は、金属塩、酸、並びにレベラーと抑制剤とからなる群から選択される少なくとも1つの電気的めっき添加剤を含む電気的めっき電解質を使用して、半導体基板上の凹形特徴部内への第1の電気的めっき金属によって処理され、その後、本明細書で説明するように電気的化学的に金属を除去し、均一性を改善する。電気的化学的金属除去の間に使用される電解質は、電気的めっき電解質とは異なり、少なくとも約4センチポイズの粘度を有する。いくつかの実施形態では、電気的化学的金属除去で使用する電解質の粘度は、電気的めっきで使用する電解質の粘度よりも少なくとも2倍大きい。いくつかの実施形態では、電気的化学的金属除去で使用する電解質には、電気的化学的抑制剤及びレベラーを含有しない。
いくつかの実施形態では、露出金属部分の電気的化学的除去は、(i)臨界電位を下回る電気的エッチングと、臨界電位を上回る電気的研磨と、臨界電位を上回る電気的研磨が続くこととなる臨界電位を下回る電気的エッチングと、からなる群から選択される形態から、電気的化学的金属除去のための形態を選択すること;並びに(ii)選択した形態において、金属の一部分を電気的化学的に除去し、金属の均一性を改善することを含む。露出金属部分の電気的化学的除去は、参照電極を使用して、半導体基板に近接する電位を監視することを含む。
いくつかの実施形態では、露出金属部分の電気的化学的除去は、金属イオン濃度が、基板から金属を電気的化学的に除去する過程の間、標的レベルから約5%を超えて変動しないように、電解質溶液中の金属イオン濃度を標的レベルで維持することを含む。いくつかの実施形態では、方法は、1つの基板からの電気的化学的除去の後、逐次、同じ電気的化学的金属除去装置において、複数の半導体基板から金属を電気的化学的に除去する一方で、金属の均一性を改善し、金属イオン濃度が、複数の基板から金属を電気的化学的に除去する過程の間、標的レベルから約5%を超えて変動しないように、電解質溶液中の金属イオン濃度を標的レベルで維持することを更に含む。
除去すべき金属の拡散係数は、一般的な挙動を決定し、本明細書で説明する電気的化学的金属除去工程を制御する重要なパラメータの1つである。拡散係数は、金属除去率、及び電気的研磨遷移期の電位の両方に影響を及ぼす。イオンの拡散係数及び溶液の粘度は、以下でより詳細に説明するストークス・アインシュタインの式によって示されるように、強く関連している。この関係から、一般的に、粘度と拡散率との間に逆の関係があることがわかる。例えば、粘度の増大により、拡散率の低減が生じる。拡散係数(及び関連するイオン種の移動性)は、特徴部の内部及び質量移動境界層内で拡散する質量移動を決定する重要な物理化学特性である。粘度は、電解質流れ速度の分布及び強度を決定する物理化学特性でもあり、したがって、質量移動境界層のサイズ、及び特徴部内側の流れの強度、並びに処理を受けるウエハ間の全体質量移動対流の決定に重要である。
いくつかの実施形態では、電気的化学的金属除去は、この工程内での重要な物理化学特性の1つである電解質粘度の制御を含む。いくつかの実施形態では、粘度制御は、(例えば、粘度計を使用して)粘度を直接測定し、(例えば、電解質流体を添加又は除去することによって)粘度を調節することによって実施される。いくつかの実施形態では、粘度は、粘度と相関する他の巨視的な変数を測定することによって制御され、容易に、確実に監視することができる。そのような巨視的な特性には、限定はしないが、溶液の導電率、密度、吸光、可視スペクトル、UVスペクトル、IRスペクトル、溶液屈折率又は音速を含む。測定される特性は、粘度に相関させることができるか、又は各測定の後、粘度への相関を実施せずに、測定値を標的値に近く保つことができる。いくつかの実施形態では、これらの手法の組合せ又は両方を使用することができる。いくつかの実施形態では、電解質制御方法は、電解質種のそれぞれの濃度を測定し、粘度が標的上にあるように、標的値で濃度の値を(例えば、標的値の約10%内又は約5%内に)保つことを含む。いくつかの実施形態では、露出金属部分の電気的化学的除去は、粘度が、基板から金属を電気的化学的に除去する過程の間、標的レベルから所定量を超えて変動しないように、電解質溶液の粘度を標的レベルで維持することを含む。粘度は、電解質溶液の水含有量、酸含有量及び/又は金属イオン含有量に依存する。水がより多いと、粘度が低減する傾向がある一方で、酸又は金属がより高いと、粘度が増大する傾向がある。いくつかの実施形態では、電解質粘度が低い場合、方法は、(例えば、蒸発によって)水を除去し、粘度を標的レベルまで回復させることを伴う。場合によっては、電解質粘度は、(例えば、標的濃度を下回る濃度を有する電解質成分を取り替え、標的を外れた特性を有する電解質を、標的規格内の成分濃度を有する電解質と取り替えることによって)全ての成分の濃度を経時的に一定に保つことによって維持される。他の場合には、酸及び/又は金属イオンを添加し、2つの個別溶液を使用する電解質の粘度を維持することができ、第1の溶液は、第2の溶液よりも高い酸濃度を有し、第2の溶液は、第1の溶液よりも高い金属イオン濃度を有する。電気的化学的金属除去の間に使用する陰極材料、及び金属除去条件は、いくつかの実施形態では、電気的化学的金属除去の間に陰極で水素(H2)の発生を生じさせる。いくつかの実施形態では、陰極材料及び金属除去条件は、電解質から金属イオンが還元するため、電解質に対する金属の電着を引き起こす。いくつかの実施形態では、陰極でのH2の発生及び陰極上への金属の電着の両方が、基板からの電気的化学的金属除去の間に存在する。一実装形態では、陽極側にバイアスをかけられる基板、及び陰極は、イオン透過性分離器によって分離され、イオン透過性分離器は、陰極で発生した水素気泡及び/又は金属粒子イオンが基板に接触するのを防止する。いくつかの実施形態では、金属部分の電気的化学的除去は、電気的化学的金属除去装置の陰極でH2を発生させること、及びH2が半導体基板に接触するのを可能にせずに、電解質からH2を分離することを含む。一実装形態では、電気的化学的金属除去装置は、H2気泡が陰極室から陽極室に移動しないように構成したイオン透過性分離器を備える。いくつかの実施形態では、方法は、鎮静化室において、不活性ガスでH2を希釈すること、及び鎮静化室から希釈したH2を除去することを更に含む。一実装形態は、電気的化学的金属除去の間、1つ又は複数の再循環ループを使用して電解質を再循環させることを含み、1つ又は複数の再循環ループは、電解質から水素気泡を分離するように構成した鎮静化室、及び電解質槽を備える。一例では、鎮静化室及び電解質槽は、一組立体内に位置する。
いくつかの実施形態では、陽極側でバイアスをかけた基板から金属部分を電気的化学的に除去することは、金属含有電解質からの金属を陰極上に電着することを含む。例えば、銅を基板から除去する場合、銅は、電解質から陰極上に電着してよい。陰極上への金属の電着を伴ういくつかの実施形態では、装置は、陽極側でバイアスをかけられる基板と陰極との間のイオン透過性分離器も利用し、陽極室及び陰極室をもたらす。装置は、一実装形態では、電解質の流れを陰極を通して、又は陰極に近接して向け、粒子がウエハ基板に到達しないように構成される。粒子は、イオン導電性膜(又は電解質で濡らすとイオン導電性になる膜)によって遮断され、イオン導電性膜は、流体を、陰極室から及び/又は膜を通じて、出口を介して陰極室を出る流れに向けるのに役立つ。一実施形態では、提供する方法は、電気的化学的金属除去の間、1つ又は複数の再循環ループを使用して電解質を再循環させることも含み、1つ又は複数の再循環ループは、外部浴槽、ポンプ、フィルタ及び任意選択で脱ガス器(窒素及び酸素等の溶解ガスを除去する装置)を備える。
提供する方法は、いくつかの基板上で均一性を改善するのに有用であり得るが、特徴部の密度が変動する領域を有する基板、並びに特徴部の縦横比及び/又は幅が変動する基板で特に有用である。一実施形態では、本明細書で提供する半導体基板は、(a)複数の貫通マスク特徴部を備え、基板は、少なくとも1つの孤立特徴部及び少なくとも2つの稠密特徴部を備え、第1の稠密特徴部は、その最も近い特徴部から第1の距離だけ離間し、第1の孤立特徴部は、その最も近い特徴部から、第1の距離よりも少なくとも2倍大きい第2の距離だけ離間する。一実施形態では、本明細書で提供する半導体基板は、(a)複数の貫通マスク特徴部を備え、第1の幅を有する第1の貫通マスク特徴部、及び異なる、第2の幅を有する第2の貫通マスク特徴部を備える。一実施形態では、本明細書で提供する半導体基板は、(a)複数の貫通マスク特徴部を備え、凹部の第1の縦横比を有する第1の貫通マスク特徴部、及び凹部の異なる、第2の縦横比を有する第2の貫通マスク特徴部を備える。一例では、凹部の縦横比の差は、基礎をなす層の表面特徴のばらつきにより得られる実効縦横比の差である。いくつかの実施形態では、本明細書で提供する半導体基板は、(a)複数の貫通マスク特徴部を備え、第1の幅及び第1の凹部縦横比を有する第1の貫通マスク特徴部、第2の幅及び第2の凹部縦横比を有する第2の貫通マスク特徴部、第3の幅及び第3の凹部縦横比を有する第3の貫通マスク特徴部、並びに第4の幅及び第4の凹部縦横比を有する第4の貫通マスク特徴部を備え、第2の幅は、第1の幅とは異なり、第4の凹部縦横比は、第3の凹部縦横比とは異なる。
別の態様では、半導体基板を処理する方法を提供し、方法は、(a)複数の貫通マスク金属特徴部を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)臨界電位を下回る電気的エッチングと、臨界電位を下回る電気的研磨と、臨界電位を上回る電気的研磨が続くこととなる臨界電位を下回る電気的エッチングと、からなる群から電気的化学的金属除去のための形態を選択すること;並びに(c)選択した形態において、貫通マスク金属特徴部から金属の一部分を電気化学除去する一方で、金属の均一性を改善することを含む。
別の態様では、半導体基板を処理する方法を提供し、方法は、(a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質に浸漬すること;及び(c)金属の一部分を電気化学除去する一方で、臨界電位を下回る電気的エッチング形態で金属を除去し、その後、臨界電位を上回る電気的研磨形態で金属を除去することによって金属の均一性を改善することを含む。一実装形態では、金属は銅であり、電気的化学的金属除去は、約0.1V〜0.7Vの間の電位で電気的エッチングし、その後、約0.7V〜2.0Vの間の電位で電気的研磨することを含み、電位は、銅参照電極に対して測定され、電気的研磨の間に使用する電位は、電気的エッチングの間に使用する電位を超える。
別の態様では、1つ又は複数の半導体基板を処理する方法を提供し、方法は、(a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質溶液に浸漬すること;(c)電解質溶液に金属を電気的化学的に溶解させることによって、半導体基板から金属の少なくとも一部分を電気的化学的に除去する一方で、金属の均一性を改善すること;及び(d)金属イオン濃度が、半導体基板から金属を電気的化学的に除去する過程の間、標的レベルから約5%を超えて変動しないように、電解質溶液中の金属イオン濃度を標的レベルで維持することを更に含む。
別の態様では、1つ又は複数の半導体基板を処理する方法を提供し、方法は、(a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質溶液に浸漬すること;(c)電解質溶液に金属を電気的化学的に溶解させることによって、半導体基板から金属の少なくとも一部分を電気的化学的に除去する一方で、金属の均一性を改善すること;及び(d)電解質溶液の粘度が、基板から金属を電気的化学的に除去する過程の間、標的レベルから所定量を超えて変動しないように、電解質溶液の粘度を標的レベルで維持することを更に含む。
別の態様では、1つ又は複数の半導体基板を処理する方法を提供し、方法は、(a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質に浸漬することであって、電解質は、少なくとも約10g/Lの濃度で、半導体基板から除去すべき金属と同じ金属のイオンを含む、浸漬すること;及び(c)電解質溶液に金属を電気的化学的に溶解させることによって、半導体基板から金属の少なくとも一部分を電気的化学的に除去する一方で、金属の均一性を改善することを含む。
別の態様では、1つ又は複数の半導体基板を処理する方法を提供し、方法は、(a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質に浸漬すること;及び(c)半導体基板から金属の一部分を電気的化学的に除去する一方で、金属の均一性を改善し、電気的化学的金属除去装置の陰極でH2を発生させ、H2気泡が、陽極側でバイアスをかけた半導体基板に接触しないようにすることを含む。
別の態様では、1つ又は複数の半導体基板を処理する方法を提供し、方法は、(a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質に浸漬すること;及び(C)半導体基板から金属の一部分を電気的化学的に除去し、金属の均一性を改善することを含み、装置は、陽極側でバイアスをかけられる基板を収容する陽極室、及び陰極を収容する陰極室を備え、陽極室及び陰極室は、イオン透過性膜によって分離される。一実施形態では、方法は、陰極上に金属を電着することを含む一方で、金属は、基板から電気的化学的に除去される。別の態様では、半導体基板を処理する方法を提供し、方法は、(a)複数の貫通マスク金属特徴部を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;(b)陽極側で半導体基板にバイアスをかけ、半導体基板の作用表面を電解質に浸漬すること;(c)貫通マスク特徴部内の金属の厚さのばらつきが低減するように、貫通マスク金属特徴部の金属の一部分を電気的化学的に除去することを含む。
別の態様では、半導体基板を処理する方法を提供し、方法は、(a)複数の貫通マスク凹形特徴部を有し、各貫通マスク特徴部の底部に露出導電性シード層を有する半導体基板を、電気的めっき装置内に準備すること;(b)電気的めっき装置において、金属塩、酸、及び電気的めっきの均一性を改善するように構成した1つ又は複数の電気的めっき添加剤を含む電解質を使用して、貫通マスク凹形特徴部を金属で少なくとも部分的に充填することであって、第1の貫通マスク特徴部の充填率と第2の貫通マスク特徴部の充填率との比はR1である、充填すること;(c)電気的化学的に金属を除去するように構成した装置に基板を移送すること;並びに(d)少なくとも約4センチポイズの粘度を有し、電気的めっき電解質とは異なる電解質中で、貫通マスク金属特徴部から金属の一部分を電気的化学的に除去することによって電気的にめっきした金属の均一性を改善することを含み、第1の貫通マスク特徴部の電気的化学的金属除去率と第2の貫通マスク特徴部の電気的化学的金属除去率との比はR1である。
提供する方法は、半導体基板上での層のパターン化に使用するフォトリソグラフィ技法と一体化することができる。いくつかの実施形態では、提供する方法は、フォトレジストを半導体基板に塗布すること;フォトレジストを光に露出すること;フォトレジストをパターン化し、パターンを半導体基板に転写すること;及び半導体基板からフォトレジストを選択的に除去することを更に含む。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)半導体基板から電気的化学的に金属を除去する間、電解質及び陰極を保持するように構成した容器;(b)半導体基板の作用表面を電解質に浸漬し、半導体基板から金属を電気的化学的に除去する間、陰極から分離するように、半導体基板を保持するように構成した半導体基板保持器;(c)半導体基板の作用表面に接触する電解質の横断流を、半導体基板の作用表面に実質的に平行である方向でもたらすように構成した機構であって、半導体基板を回転させる機構とは異なる機構;及び(d)半導体基板に近接する電位、又は同等の電位を測定する参照電極を備える。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)陽極側にバイアスをかけた半導体基板から電気的化学的に金属を除去する間、電解質及び水素発生陰極を保持するように構成した容器であって、陽極側でバイアスをかけられる半導体基板を収容するように構成した陽極室、及び水素発生陰極を収容するように構成した陰極室を備える容器;(b)陽極室と陰極室との間のイオン透過性分離器であって、陰極室から陽極室に水素気泡が移動するのを阻止又は防止するように構成されるイオン透過性分離器;(c)半導体基板の作用表面を電解質に浸漬し、半導体基板から金属を電気的化学的に除去する間、ガス生成陰極から分離するように、陽極側でバイアスをかけられる半導体基板を保持するように構成した半導体基板保持器;及び(d)陰極室と流体接続した鎮静化室を含み、鎮静化室は、陰極室から陰極液を受け入れ、受け入れた電解質から水素気泡を隔離し、除去するように構成される。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)半導体基板から電気的化学的に金属を除去する間、陽極側でバイアスをかけられる半導体基板を収容するように構成した陽極室であって、流体を陽極室に導入する少なくとも1つの入口を有する陽極室;(b)陰極を収容するように構成した陰極室であって、陰極室に流体を導入する少なくとも1つの入口を有する陰極室;及び(C)陽極室と陰極室との間のイオン透過性分離器を備える。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)陽極側でバイアスをかけられる半導体基板から電気的化学的に金属を除去する間、電解質及び陰極基板を保持するように構成した容器であって、容器は、陽極側でバイアスをかけられる半導体基板を収容する陽極室、及び陰極を収容する陰極室を備え、容器上で、半導体基板から除去される金属と同じ金属種を電着させる、容器;(b)陽極室と陰極室との間のイオン透過性分離器;(c)半導体基板の作用表面を電解質に浸漬し、半導体基板から金属を電気的化学的に除去する間、陽極側にバイアスをかけた半導体基板を、陰極から分離するように保持するように構成した半導体基板保持器;及び(d)陰極室と流体接続し、陰極室から陰極液を受け入れ、陰極液をフィルタ処理するように構成した電解質再循環ループを含む。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)半導体基板から電気的化学的に金属を除去する間、電解質及び陰極を保持するように構成した容器であって、容器は、半導体基板を収容するように構成した陽極室、及び陰極を収容するように構成した陰極室を備え、装置は、電気的化学的金属除去の間、陽極側で半導体基板にバイアスをかけるように構成される、容器;(b)陽極室と陰極室との間のイオン透過性分離器;及び(c)半導体基板の作用表面を電解質に浸漬し、半導体基板から金属を電気的化学的に除去する間、陽極側にバイアスをかけられる半導体基板を、ガス生成陰極から分離するように保持するように構成した半導体基板保持器を含み、装置は、陰極室の入口を通じて流体を陰極室に加えるように構成される。いくつかの実施形態では、陰極は、水素発生陰極であり、イオン透過性分離器は、水素が基板と接触しないようにする。いくつかの実施形態では、イオン透過性分離器は、陰極で生成され得る粒子が基板と接触しないようにする。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)半導体基板から電気的化学的に金属を除去する間、電解質及び陰極を保持するように構成した容器であって、容器は、半導体基板を収容するように構成した陽極室、及び陰極を収容するように構成した陰極室を備え、装置は、電気的化学的金属除去の間、陽極側で半導体基板にバイアスをかけるように構成される、容器;(b)陽極室と陰極室との間のイオン透過性分離器;(c)半導体基板の作用表面を電解質に浸漬し、半導体基板から金属を電気的化学的に除去する間、陽極側にバイアスをかけられる半導体基板を、ガス生成陰極から分離するように保持するように構成した半導体基板保持器を含み、装置は、陽極液再循環ループ及び陰極液再循環ループを備え、ループは、共有部分を有し、装置は、ループの共有部分から規定量の電解質を陽極室及び陰極室に個別に送出するように構成される。別の実装形態では、陽極液再循環ループ及び陰極液再循環ループは、共有部分を有するが、装置は、規定量の電解質を、ループの非共有部分から陽極室及び陰極室に個別に送出するように構成される。例えば、陽極液再循環ループは、陽極室に流体接続する専用電解質槽を有してよく、陰極液再循環ループは、陰極室に流体接続する個別の電解質槽を有してよい。また、他の実施形態では、陽極液再循環ループ及び陰極液再循環ループは、共有部分を有さない。
別の態様では、半導体基板から金属を電気的化学的に除去する装置を提供し、装置は、(a)半導体基板から電気的化学的に金属を除去する間、電解質及び陰極を保持するように構成した容器であって、装置は、電気的化学的金属除去の間、陽極側で半導体基板にバイアスをかけるように構成される、容器;(b)半導体基板の作用表面を電解質に浸漬し、半導体基板から金属を電気的化学的に除去する間、半導体基板を、陰極から分離するように保持するように構成した半導体基板保持器;(c)容器に希釈剤を添加する容器入口;(d)容器から電解質を除去する容器出口;及び(e)電気的化学的金属除去の間、電解質中の金属イオン及び酸の濃度を測定する少なくとも2つの測定デバイスを含む。
別の態様では、装置を提供し、装置は、電気的化学的に金属を除去するように構成した容器、及び本明細書で提供する方法のいずれかを実施するプログラム命令を含む制御器を含む。
別の態様では、システムを提供し、システムは、本明細書で提供する装置及びステッパのいずれかを含む。
別の態様では、システムを提供し、システムは、電気的めっき装置、及び本明細書に記載する電気的化学的に金属を除去する装置のいずれかを含む。
別の態様では、本明細書で提供する方法のいずれかを実施するコードを含む非一時的コンピュータ可読媒体を提供する。
別の態様では、電気的化学的に金属を除去する陰極を提供し、陰極は、(a)陰極の本体を通じて電解質を通すように構成した複数の通路;及び(b)陰極の縁部における複数の凹みを含む。陰極は、いくつかの実施形態では、全体が円板形状の本体を有する。通路は、いくつかの実施形態では、陰極の導電性表面の上に延在する非導電性貫通管と嵌合する。
別の態様では、電気的化学的に金属を除去する陰極を提供し、陰極は、全体が円板形状の本体を有し、陰極の導電性表面上に複数の非導電性固定要素を含み、固定要素は、1つ又は複数のハンドルに取り付け可能であるように構成される。そのような陰極は、陰極表面上に金属を電着した後、装置から容易に取り外すことができる。非導電性固定要素は、いくつかの実施形態では、全体が円板形状の本体に対し異なる径半径位置で配設される。
別の態様では、電気的化学的金属除去のための装置を提供し、装置は、本明細書で説明する陰極を保持する容器を含む。
別の態様では、電気的化学的に金属を除去する電解質を作製する方法を提供する。方法は、いくつかの実施形態では、リン酸中に金属酸化物及び/又は金属水酸化物を溶解させること;及び次に、金属酸化物及び/又は水酸化物の溶解後に得られた溶液と、より濃縮させたリン酸とを組み合わせ、少なくとも約4センチポイズの粘度を有する電解質を生成することを伴う。本発明のこれら及び他の特徴及び利点は、添付の図面を参照しながら以下でより詳細に説明する。
図1Aは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。 図1Bは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。 図1Cは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。 図1Dは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。
図2Aは、本明細書で提供する一実施形態による工程を示す工程流れ図である。
図2Bは、一実施形態によるマッシュルーム状金属突出部を有する金属充填後の基板の概略断面図である。
図2Cは、金属充填及びマスク除去後、ピラーとバイアとを組み合わせた特徴部の概略側面図である。
図2Dは、金属充填及びマスク除去後の、ラインとビアとを組み合わせた特徴部の概略側面図である。
図3Aは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。 図3Bは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。 図3Cは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。 図3Dは、本明細書で提供する一実施形態による処理を受ける基板の概略断面図である。
図4は、本明細書で提供する一実施形態による工程を示す工程流れ図である。
図5Aは、ダイ内非均一性の決定を示す基板の概略断面図である。 図5Bは、特徴部内非均一性の決定を示す基板の概略断面図である。
図6は、本明細書で提供する一実施形態による電気的化学的金属除去工程を示す工程流れ図である。
図7Aは、異なる実施形態による、基板に近接する電解質の流れのパターンを示す、金属除去装置の部分の概略断面図である。 図7Bは、異なる実施形態による、基板に近接する電解質の流れのパターンを示す金属除去装置の部分の概略断面図である。
図8は、本明細書で提供する一実施形態による電気的化学的金属除去工程を示す工程流れ図である。
図9Aは、電気的エッチングを受ける例示的基板の断面図である。
図9Bは、電気的研磨を受ける例示的基板の断面図である。
図9Cは、電気的研磨後の、図9Bに示す基板の断面図である。
図10は、電気的エッチング及び電気的研磨形態の決定に使用される臨界電位を推定する実験I〜Vの図である。
図11は、電解質交差流量に対する臨界電位の依存性を示す一連の実験I〜Vの曲線である。
図12は、臨界電位の決定に使用される2つの実験グラフである。
図13は、本明細書で提供する一実施形態による電気的化学的金属除去工程を示す工程流れ図である。
図14は、本明細書で提供する一実施形態による電気的化学的金属除去工程を示す工程流れ図である。
図15Aは、過エッチング問題を示す、電気的エッチング後の基板の概略断面図である。
図15Bは、本明細書で提供する一実施形態による、電気的エッチング、その後、電気的研磨を受ける基板の概略断面図である。 図15Cは、本明細書で提供する一実施形態による、電気的エッチング、その後、電気的研磨を受ける基板の概略断面図である。 図15Dは、本明細書で提供する一実施形態による、電気的エッチング、その後、電気的研磨を受ける基板の概略断面図である。 図15Eは、本明細書で提供する一実施形態による、電気的エッチング、その後、電気的研磨を受ける基板の概略断面図である。
図16Aは、電気的化学的に金属を除去せずに得た銅特徴部のSEM写真である。
図16Bは、電気的研磨形態において電気的平坦化された銅特徴部のSEM写真である。
図16Cは、電気的エッチング形態において電気的平坦化された銅特徴部のSEM写真である。
図16Dは、電気的エッチング、その後、電気的研磨によって電気的平坦化された銅特徴部のSEM写真である。
図17は、本明細書で提供する一実施形態による工程の工程流れ図である。
図18は、本明細書で提供する一実施形態による工程の工程流れ図である。
図19Aは、本明細書で提供する一実施形態による制御器の接続の概略図である。
図19Bは、本明細書で提供する一実施形態による、セルに到来する材料及びセルから出て行く材料の概略図である。
図20は、本明細書で提供する一実施形態による、電気的化学的金属除去装置の脱めっきセルの概略断面図である。
図21は、本明細書で提供する一実施形態による、交差流閉じ込め構造を上に配設したイオン抵抗性イオン透過性要素の上面図である。
図22Aは、本明細書で提供する一実施形態による、陰極室からのH2気泡除去を示す、脱めっきセルの一部分の概略断面図である。
図22Bは、代替実施形態による、傾斜膜を示す脱めっきセルの概略断面図である。
図23は、本明細書で提供する一実施形態による、脱めっきセルの一部分の概略断面図である。
図24は、本明細書で提供する一実施形態による電気的化学的金属除去装置における流体接続を示す概略図である。
図25は、本明細書で提供する別の実施形態による電気的化学的金属除去装置における流体接続を示す概略図である。
図26は、本明細書で提供する一実施形態による、電解質槽との組合せを示す鎮静化室の概略断面図である。
図27は、本明細書で提供する一実施形態による、電解質槽の概略断面図である。
図28Aは、本明細書で提供する一実施形態による、活性陰極を収容する脱めっきセルの一部分の概略断面図である。
図28Bは、金属層を活性陰極上に堆積した後の、図28Aに示す脱めっきセルの断面図である。
図29Aは、本明細書で提供する一実施形態による陰極の上面図である。
図29Bは、陰極内の貫通孔に嵌合するように適合された管の一部分の図である。
図29Cは、図29Aに示す陰極の図である。
図29Dは、図29Aに示す陰極の図であり、陰極に取り付けて陰極を取り外すハンドルを示す。
図30は、本明細書に提示する実施形態による動作の実行に使用してよい一体化システムの概略上面図である。
図31は、本明細書に提示する実施形態による動作の実行に使用してもよい別の一体化システムの概略上面図である。
図32は、本明細書で提供する一例による、定常状態の銅濃度を関数とする、電解質除去率の依存性を示す計算モデル化図である。
以下の詳細な説明において、開示する実装形態に対する完全な理解をもたらすように、多数の特定の実装形態を示す。しかし、当業者には明らかであるように、開示する実装形態は、こうした特定の詳細を伴わずに又は代替要素若しくは工程の使用によって実施することができる。他の例では、周知の工程、手順及び構成要素は、開示する実装形態の態様を不必要に不明瞭にしないため、詳細に説明していない。
半導体基板上の金属層の均一性を改善する方法及び装置を提供する。本明細書では、用語「半導体ウエハ」又は「半導体基板」は、その本体のどこかに半導体材料を有する基板を指し、半導体材料が露出している必要はないことを理解されたい。半導体基板は、半導体材料にわたり形成した1つ又は複数の誘電体層及び導電層を含んでいてもよい。半導体デバイス産業において使用されるウエハは、典型的には円形半導体基板である。例には、200mm又は300mm又は450mmの直径を有するウエハを含む。以下の詳細な説明は、ウエハ上への堆積及びエッチングを説明する。しかし、開示する実装形態は、そのように限定されない。加工物は、様々な形状、サイズ及び材料のものとしてよい。半導体ウエハに加えて、開示する実装形態を利用してよい他の加工物には、印刷回路板等の様々な物品を含む。
本明細書で提供する方法を使用し、様々な金属層、特に、Cu、Ni、Co、Sn及びこれらの金属を含む合金等、電気的化学的溶解に容易に適用できる金属の均一性を改善することができる。いくつかの実施形態では、提供する方法を用いてPd、Pt、Ag、Rh、Ru、Ir及びAu等のより多くの貴金属及びこれらの金属を含む合金を電気的に平坦化する。提供する方法を用いて電気的に平坦化し得る合金の一例は、スズ銀合金(例えば、5原子%以下の銀を含有する合金)である。
電気的化学的溶解は、陽極側で半導体基板にバイアスをかけた際、処理式(2)に示す反応に従って生じる。
電気的化学的金属除去装置は、電源に電気的に接続した陰極を更に含み、装置は、電気的化学的金属除去の間、陽極に負電圧のバイアスをかけるように構成される。陰極は、電解質から金属を還元し、金属は、次に、典型的には、電解質から陽子を還元することによって、陰極表面上にめっきされる、及び/又はH2を発生させる。いくつかの実施形態では、金属イオンをさほど還元させずに、実質的にもっぱらH2を発生させるような陰極が選択される。他の実施形態では、H2を発生させずに、実質的にもっぱら金属イオンを還元させるような陰極が選択される。また他の実施形態では、H2の発生及び金属イオンの還元は、かなりの量で陰極で生じさせることができる。本明細書で使用する、主として、金属イオン還元以外の他の反応を生じさせる陰極(例えば主にH2を発生させる陰極)を不活性陰極と呼ぶ一方で、主として、金属イオンを還元させる陰極を活性陰極と呼ぶ。不活性陰極は、典型的には、白金等、触媒作用による水素発生に寄与する金属を含む。活性陰極は、典型的には、ステンレス鋼表面、銅表面等、めっき可能な表面を有することができる。電解質濃度及び陰極に供給する電力等の工程条件が、水素発生と金属イオン還元反応との間の均衡に影響を与え得ることに留意されたい。例えば、より高濃度の金属を有する電解質を使用すると、金属イオン還元がより有利に促進される。
用語「電気的化学的金属除去」及び「電気的化学的エッチバック」は、本明細書で互換的に使用され、陽極側でバイアスをかけられる構造体からの金属の電気的化学的溶解を指す。「電気的平坦化」は、あらゆる種類の均一性(即ち、ウエハのレベル、ダイのレベル及び特徴部のレベルでの厚さのばらつきを含むあらゆる種類の金属厚さのばらつきの低減)の改善を伴う、電気的化学的金属除去に対する一般的な用語として本明細書で使用される。電気的化学的金属除去及び電気的平坦化は、本明細書で詳細に説明する、個別の「電気的エッチング」及び「電気的研磨」形態で実施することができる。
本明細書で使用する用語「特徴部」は、文脈から明らかであるように、基板上の非充填凹部、部分充填凹部又は完全充填凹部を指すことができる。貫通マスク特徴部は、フォトレジスト層等の誘電マスク層内に形成した非充填凹形特徴部、部分充填凹形特徴部又は完全充填凹形特徴部を指し、マスク層は、その後、除去されることを意図する。貫通マスク特徴部は、底部に導電シード層を有する。言い換えれば、非充填貫通マスク特徴部又は部分充填貫通マスク特徴部を伴う基板は、露出した不連続金属層及び露出した誘電体層を含み、露出した不連続金属層は、誘電体層の下で導電層に電気的に接続する。
一態様では、貫通マスク特徴部の均一性を改善する装置及び方法を提供する。提供する方法及び装置は、不連続金属層(貫通マスク金属特徴部等)の均一性の改善に特に有利であり、主に、貫通レジスト特徴部を参照して説明するが、連続金属層の均一性の改善に使用することもできる。いくつかの実施形態における方法は、金属層が不均一な半導体基板から金属を電気的化学的に除去することを伴い、電気的化学的エッチバックは、例えば、ダイ内均一性、特徴部内均一性、及びウエハ内均一性のうち少なくとも1つを改善し、均一性とは、一般に、金属厚さのばらつきを指し、改善には、少なくとも一種の金属厚さのばらつきを低減することを伴う。化学機械研磨(CMP)とは異なり、提供する電気的化学的方法は、機械パッドの使用、固形研磨機器による物理的接触、及び/又は均一性改善のための研磨スラリーに依拠しないが、電解質流体動力学、電解質組成及び特定の電気的化学的形態のうち1つ又は複数を使用し、金属除去の間の均一性の改善を達成する。この方法の1つの固有の特徴は、この方法が、マスク層内の特徴部(例えば部分充填特徴部)は、依然として凹部であること、したがって、マスク層内の特徴部にはCMPのような機械的摩耗作用が入り込めない一方で、特徴部の間の厚さの均一性、特徴部から特徴部までの厚さの均一性、及び包括的な厚さの均一性の改善を可能にし得ることである。更なる有利な特徴は、いくつかの実施形態では、基板の表面又は特徴部に加えられる、固形研磨機器との相互作用による物理的な力がないことである。孤立特徴部に加えられる研磨力は、これらの特徴部の間に支持材料がなければ、個々のピラー及び輪郭に大きな機械的せん断力をもたらし、研磨工程中、これらのピラー及び輪郭に損傷を与えることが多い。
貫通マスク特徴部の均一性の改善は、銅線、再分配ライン(redistribution line、RDL)、並びにマイクロピラー、規格ピラー及び一体型高密度ファンアウト(integrated high density fanout、HDFO)及びメガピラーを含む異なるサイズのピラーを含む、様々なサイズの特徴部との様々なパッケージ相互接続部を作製する間に実施することができる。これらの特徴部の幅は、5μm(RDL)から約200μm(メガピラー)等の約1〜300μmの幅を有する特徴部等、より大きな特徴部で特に有用な方法の場合、広い範囲にわたることができる。例えば、方法は、約20μmの幅を有する複数のマイクロピラーを有する基板、又は約200μmの幅を有する複数のメガピラーを有する基板を作製する間、使用することができる。特徴部の縦横比は、変更することができ、いくつかの実施形態では、約1:2(高さ対幅)から2:1まで、及びそれ以上である。
提供する方法は、異なる直径及びピッチ(限界寸法(critical dimesion、CD)とも呼ばれる)を有する複数の特徴部を含む基板の平坦化にかなり有利である。いくつかの実施形態では、基板は、第1の直径を有する第1の特徴部及び第2の、異なる直径(例えば、第1の直径よりも少なくとも10%、50%又は100%大きい)を有する第2の特徴部を含む。いくつかの実施形態では、方法を使用して、異なる縦横比を有する複数の特徴部を有する基板を電気的に平坦化する。例えば、基板は、第1の縦横比を有する第1の特徴部及び第2の、異なる縦横比(例えば、第2の縦横比は、第1の直径よりも少なくとも10%、50%又は100%大きくてよい)を有する第2の特徴部を含んでいてよい。いくつかの実施形態では、基板は、基板上の基礎をなす表面特徴のばらつきのために異なる実効縦横比を有する複数の特徴部を含んでいてよい。例えば、基板が、傾斜した基礎表面上に配設された1:1の縦横比を有する2つの特徴部を含む場合、表面のより厚い部分上に配設される特徴部は、より高く位置する特徴部であり、表面のより薄い部分上に配設される特徴部よりも低い実効縦横比を有する。いくつかの実施形態では、提供する電気的平坦化方法は、特徴部の基礎をなす表面特徴のばらつきのために、より低く位置する特徴部及びより高く位置する特徴部、例えば、第1の実効縦横比を有する第1の特徴部及び第2の、異なる縦横比(例えば、第2の実効縦横比は、第1の実効縦横比よりも少なくとも10%、50%又は100%大きくてよい)を有する基板上で使用される。本明細書で使用する用語「縦横比」は、実際の縦横比(高さ対幅の比)及び実効縦横比(特徴底部からフィールドの最下面まで測定した実効高さ対幅の比)を包含する一般的な用語である。
更に、提供する方法は、直径のばらつき及び縦横比のばらつきの両方を有する特徴部を含む基板の電気的平坦化に固有に適している。こうした基板は、従来の方法を使用して所望の均一性を達成しながら処理するのが、特に困難である。いくつかの実施形態では、方法は、第1の直径及び第1の縦横比を有する第1の特徴部並びに第2の直径及び第2の縦横比を有する第2の特徴部を含む基板上で使用され、第2の直径は、第1の直径とは異なり(例えば、第1の直径よりも少なくとも10%、50%又は100%大きい)、第2の縦横比は、第1の縦横比とは異なる(例えば、第1の縦横比よりも少なくとも10%、50%又は100%大きい)。いくつかの実施形態では、方法は、第1の直径及び第1の縦横比を有する第1の特徴部、第2の直径及び第2の縦横比を有する第2の特徴部、第3の直径及び第3の縦横比を有する第3の特徴部、並びに第4の直径及び第4の縦横比を有する第4の特徴部を含む基板上で使用され、第2の直径は、第1の直径とは異なり(例えば、第1の直径よりも少なくとも10%、50%又は100%大きい)、第4の縦横比は、第3の縦横比とは異なる(例えば、第1の縦横比よりも少なくとも10%、50%又は100%大きい)。
いくつかの実施形態では、提供する方法は、複数の稠密特徴部及び1つ又は複数の孤立特徴部を有する基板に特に有用である。例えば、いくつかの実施形態では、基板は、最も近い特徴部から第1の距離だけ離れて離間した第1の特徴部(稠密特徴部)、及び最も近い特徴部から第2の距離だけ離れて離間した第2の特徴部(孤立特徴部)を含み、第2の距離は、第1の距離よりも少なくとも2倍大きい、少なくとも3倍、又は少なくとも5倍等である。距離は、第1の特徴部又は第2の特徴部の中心から、第1の特徴部又は第2の特徴部に対応する隣接特徴部の中心まで測定される。更に、提供する方法は、異なるサイズの特徴部を含む基板に特に有用である。例えば、基板は、第1の幅を有する第1の特徴部、及び第2の幅を有する特徴部を含んでいてよく、第2の幅は、第1の幅よりも少なくとも約1.1倍大きい、例えば少なくとも1.2倍、又は少なくとも2倍等である。より珍しい場合では、第2の幅は、第2の幅よりも少なくとも20倍大きくても、それ以上としてよい。幅が異なる特徴部を有する基板の一例は、第1の幅を有する第1の特徴部及び第1の特徴部よりも約1.1〜1.5倍幅が広い別の特徴部を含む複数のWLP特徴部を有するウエハである。可変幅を有する特徴部を有する基板の別の例は、RDLパターンを有する基板であり、このパターンは、第1の幅(例えばライン)を有する第1の特徴部及び第2の幅(例えばパッド)を有する第2の特徴部を含み、第2の幅は、第1の幅よりも最大で20倍大きい(例えば、約5倍から20倍の間で大きい)。例えば、RDLパターンは、5μm幅のライン及び100μmの幅のパッドを含んでいてよい。
稠密領域の特徴部と他の領域の大部分の孤立特徴部とを有する等、特徴部の密度にかなりのばらつきを有する基板、及び一連の異なる幅を有する特徴部を有する基板は、提供する方法から特に恩恵を受ける。というのは、これらの基板において、電気的にめっきする間のイオン電流分布のばらつきのため、電気的めっきの後、金属厚さの分布のばらつきが悪化するためである。
図1A〜図1Dは、孤立特徴部を有する基板に対するこの問題を示し、貫通マスクを電気的にめっきする際に遭遇し得る一種の非均一性を示す工程流れの一例、及びこの非均一性を改善するための電気的酸化金属除去方法の使用を提供する。図1A〜図1Dは、処理を受ける半導体基板の一部分の概略断面図を示す。図2Aは、図1A〜図1Dに示す工程のいくつかのステップを示す工程流れ図である。図2Aを参照すると、工程は、201で、貫通マスク特徴部を有する基板を準備することによって開始する。図1Aは、1つのそのような基板100の一部分の断面図を示し、基板は、銅層等の導電性シード層103を上に配設した層101(例えば、シリコン酸化物等の誘電体層)を含む。層101は、接着層又は「粘着」層(Ta、TaN、W、WN、Ti、TiN、TiW等)を含んでよい1つ又は複数の他の層(図示せず)、及びSi、Ge、SiGe等の半導体材料の上に存在してよいことを理解されたい。パターンを形成した非導電性マスク層105(例えばフォトレジスト)は、シード層103の上に存在し、マスク内に形成した複数の凹形特徴部を有し、導電性シード層の材料が凹形特徴部の底部分で露出されるようにする。これらの特徴部を貫通マスク凹形特徴部と呼ぶ。図1Aは、互いに近接して配設した2つの凹形特徴部107及び108、並びに最も近い凹部108からより大きな距離にある孤立凹形特徴部109を示す。図1Aに示す基板は、露出層101(例えば誘電体層)を有する半導体基板を準備すること;あらゆる適切な方法(例えば導電性銅シード層はPVDによって蒸着することができる)により導電層を露出層の上に堆積すること;シード層の上にマスク層を(例えばフォトレジスト・マスクのスピンコーティングにより)堆積すること;並びに例えばフォトリソグラフィ技法を使用してマスクをパターン形成し、貫通マスク凹形特徴部107、108及び109を画定することによって得ることができる。凹形特徴部の寸法は、用途に応じて様々であり、典型的には、約5〜250μmの幅、及び約1:2〜15:1の間の縦横比を有する。
次に、金属を電気的にめっきして凹形特徴部の中に入れ、凹形特徴部を充填する(部分的に充填するか、完全に充填するか又は過充填する。ここで、過充填めっきは、図2Bに示す「マッシュルーム」めっきと呼ばれることがある)。導電性シード層の材料は、電解質の抵抗率に対して比較的わずかな電流抵抗で、特徴部の全てを接続するため(これにより、特徴部のそれぞれ及び全ての底部分で一定電位を適切に達成する)、並びに孤立特徴部は、3次元電解質環境により多く露出されるため、孤立特徴部は、電解質に通る電流に対しより低い抵抗を呈し、したがって、孤立凹形特徴部(凹部109等)は、より稠密な特徴部107及び108の領域に対して、イオン電流及び電着がより高い場所となる傾向がある。「一次電流分布負荷作用」と呼ばれるこの作用を図1Aに概略的に示す。電気的めっきの間、基板100は、ウエハの側に露出し電源に電気的に接続するシード層103を介して、陰極側でバイアスをかけられる。基板は、陽極110に対向する電気的めっきセルに置かれ、電解質の作用表面を、めっきする金属のイオンを含有する電解質、及び任意選択で、電解質の導電性を増大させる酸に浸漬する。
めっき溶液は、典型的には、表面反応速度を修正するめっき添加剤を含有し、めっき添加剤がない場合に生じる電流分布(特徴部の形状及び厚さの分布)に対して、電流分布を改善するのに有用であることが多い(一次電流分布、又は電解質抵抗により推進される電流分布に対して改善される)。イオン電流場の分布を図1Aの矢印により概略的に示す。マスク層105は、導電性ではないため、イオン電流分布は、主に、基板表面上の導電性シード層103の露出部分の分布によって支配される。特定のモデル又は理論に拘束されることを望まないが、表面運動、均質な反応及び質量移動抵抗がない電流分布を一次電流分布と呼び、この電流分布は、電界分布に関するラプラスの方程式(3)によって支配される(式中、φは、電解質中の電位であり、∇2はラプラシアンの微分作用素(関数勾配の発散量)である。
したがって、孤立凹形特徴部がより多い場合、109は、凹部特徴部107及び108よりも大きなイオン電流束を受けることが予測される。多くの場合、めっき添加剤は、この「負荷」作用に対処し、この作用を中和することを目標として使用されるが、添加剤の存在下でさえ、孤立特徴部をより高い率でめっきする場合が典型的である。したがって、このことは、孤立凹形特徴部でのより高いめっき率、及び孤立凹形特徴部に、より稠密な特徴部よりも厚い金属層をもたらし、ダイ内の不均一性につながる。めっき添加剤は、同様に、粒度の修正、又は表面の円滑さ及び光沢の修正を含め、他の目的のためにも使用される。電気的めっきの間にめっきの均一性を改善する方策(めっき添加剤の選択等)を取った場合でさえ、許容可能な堆積率で(若しくはかなり低い堆積率でさえ)、許容可能又は所望のレベルの均一性を常にもたらすわけではなく、ダイ内の均一性に対する更なる改善が望ましいか又は必要であることが多い。更に、より高速での電着は、典型的には、厚さのばらつきを増大させる。というのは、他の理由の中でも、電荷移動を妨げるめっき添加剤の効力及び上記の一次電流分布場効果を補償する能力は、電流密度と共に減少し、また、露出した特徴部は、電解質の金属イオン源により多く露出され、質量移動に対してより低い抵抗をもたらすためである。したがって、金属層の標的均一性を達成するため、それ以外の場合では望ましい速度よりも遅い速度で電気的めっきを行わなければならないことが多い。場合によっては、標的均一性は、あらゆるめっき速度において(かなり遅いめっき速度でさえ)入手不可能である。本明細書で開示する1つの選択肢は、より高速で電気的にめっきし、本明細書に記載する方法を使用して電気的に平坦化することである。最終的に、提供する方法を使用すると、所与の標的均一性レベルに対し、より高い正味の処理率及び工具の処理能力を得ることができ、多くの実施形態では、電気的めっきの後、電気的平坦化が続く。他の場合には、本明細書に記載する方法及び装置の使用により、従来のめっきのみの方法によっては入手不可能であった均一性レベルを、(極度に遅いめっき速度を含む)あらゆるめっき速度で得ることができる。
図2Aを参照すると、動作203において、金属を電気的にめっきし、最終標的金属厚さを超えるレベルまで凹形特徴部に入れ、最も速い特徴部充填と最も遅い特徴部充填との間のめっき率は、R1である。特徴部充填の説明において本明細書で使用する金属堆積・金属除去率を時間平均率と呼ぶ。例えば、図1Bに示す基板において、特徴部は、銅等の金属113で、標的レベル115を超えるレベルまで充填される。図示の例では、最も速い特徴部充填は、孤立特徴部119で生じ、最も遅い充填は、特徴部117で生じる。これら特徴部の間の時間平均めっき率の比率は、充填後に得られる厚さの比率から決定することができる。標的レベルを超えた過めっきは、典型的には、標的厚さの厚さを約10〜50%の間で超える等、標的めっき厚さの10%を超える。過めっき金属は、金属除去の間に均一性を改善するため、後続の電気的化学的除去ステップで除去される(犠牲になる)。過めっきの量は、限定はしないが、標的特徴部に対する特徴部の均一性要件、所望の特徴部平坦度、作業費用及び/又は処理能力要件を含む、いくつかの考慮事項、並びにR1比率に応じて異なる。
概して、電気的めっきステップは、凹形特徴部充填の様々なレベルで停止することができる。いくつかの実施形態では、電気的めっき後の基板は、図1Bに示すように、部分充填特徴部を含む。いくつかの実施形態では、凹形特徴部は、完全に充填され、マスクのレベルを上回って突出する金属の全部又は一部分さえ含むことができる。いくつかの実施形態では、電気的めっき後の突出する金属は、基板のフィールド領域上で隣接する特徴部又は連続金属層の間にブリッジを形成するほど合流しない(側方への成長が十分ではない)。しかし、いくつかの実施形態では、金属は、連続金属層が、2つ以上の充填特徴部に及ぶフィールド領域上に形成される程度又はレベルまで堆積することができる(特徴部の間のブリッジングが生じる)。このことは、図2Bに示す構造体に示され、フォトレジスト213内に存在し、シード層215によって電気的に接続される特徴部207、209及び211は、金属で過充填され、このため、マッシュルーム状金属突出部217が各特徴部の上に形成されている。更に、金属ブリッジ219は、2つの隣接するマッシュルーム突出部217の間に形成されている。図示の例では、ブリッジは、より孤立した特徴部211には延在しない。
単一基板は、電気的めっき後、異なる種類の充填特徴部を含んでよいことに留意されたい。例えば、いくつかの実施形態では、基板は、電気的めっき後、部分充填凹形特徴部及び完全充填凹形特徴部の両方を含んでよい。他の場合には、特徴部は、一部の特徴部が全体開始基板面の下に1つ又は複数の凹部(例えばピラー柱内部のビア)を有する等、(充填金属の量とは無関係に)互いに形状的に異なってよい。図2Cは、ピラー221と、ピラーの下に配設したビア223とを組み合わせた特徴部を示す。更に、一部の特徴部は、ラインとピラーとの組合せを含んでいてもよい。そのような組み合わせた特徴部は、図2Dに示し、ライン225は、ビア227の上に配設される。図2C及び図2Dは、フォトレジスト除去後の充填特徴部の概略側面図を提供する。
最も典型的には、各特徴部は、電気的めっきステップにおける最初の凹形深さの少なくとも約50%で充填される。充填量とは無関係に、動作205において、工程は、各特徴部における金属の電気的化学的除去に続き、電気的化学的除去は、特徴部厚さの平均が標的厚さレベルに接近した点で停止する。電気的化学的除去工程は、めっきのみの工程と比較して、均一性を改善し(厚さのばらつきを低減する)、電気的化学的金属除去工程は、R2と呼ばれる、最も速いめっき/充填特徴部と最も遅いめっき/充填特徴部との間の金属除去率の比率がR1を超えるように構成され、金属堆積(めっき)比は、同じペアの間である。このR2>R1の関係は、これから説明する平坦化工程の成功に重要である。R2がR1に等しい場合、最も遅いめっき特徴部に対する最も速いめっき特徴部の相対的な厚さは、実質的に変化しない(また、追加処理は、厚さの均一性の改善を一切達成しないため、有用ではない)。このことを証明する単純な例として、最も速い特徴部のめっき率を最も遅い特徴部のめっき率の2倍であり、標的厚さが20μmであることを想定することができる。この場合、最も速い特徴部は、2×20=40μmの厚さにめっきし、最も遅い特徴部を1×20=20μmにめっきする(この例では、より薄い特徴部を標的厚さに到達させることが可能である)。特徴部をより長く、例えば、2×25=50μm及び1×25=25μmのそれぞれにめっきし、R2=R1=2であると、これらの特徴部からの金属除去は、同じ2対1の相対比で行われる。詳細には、より薄い特徴部から5μmを除去して20μmまで下げ、2×5=10μmは、最も速いめっき特徴部から除去される。この場合、電気的化学的除去の後に得られる最終特徴部は、めっきのみの場合と比較して変化しない(それぞれ、40μm及び20μm)。R2がR1よりも小さいと、特徴部の相対的な厚さの差は、めっきの後に電気的化学的金属除去工程を用いることによって、分散する(悪化するか又は大きくなる)。電気的化学的金属除去工程において金属除去比R2が、以前のめっき工程の場合のR1を超える場合のみ、正味の工程に厚さの均一性の改善がもたらされる。したがって、工程を有用にするために、R2/R1比は1を超えるべきであり、例えば、R2/R1は、約1.1を超える、例えば、約1.15を超えるべきである。場合によっては、高い工程効率を必要とする場合、R2/R1は、約1.25を超えるべきである。R1とR2との比率の間に必要とされる関係は、1つ又は複数のパラメータを構成してR1を可能な限り1.0に近づくように最小化する及び/又はR2を最大化することによって達成することができる。例えば、上記のように、いくつかの実施形態では、特徴部の様々な表面上での堆積速度を修正することにより、一次電流分布又はオーム場分布の影響を中和し得る電気的めっき溶液中で特定のめっき添加剤を用いることによって、R1を低減することが可能である。いくつかの実施形態では、電気的めっきは、R1*に対してR1を低減するように構成した電気的めっき抑制剤の1つ若しくは複数及び/又は電気的めっきレベラーの1つ若しくは複数を含有する溶液中で実施され、R1*は、そのような添加剤、又はR1*を低減させる目的で取られる他の方策の不在下で得られる比である。あらゆるモデル又は理論に拘束されることを望まないが、R1*は、様々な露出特徴部に対する相対的なイオン抵抗、並びに様々な露出特徴部の露出、及び様々な露出特徴部の間の露出のみによって調整される、「一次電流分布」、電界分布及びめっき電流分布として既知であるものの結果として見ることができる。より孤立した特徴部は、溶液により多く露出される傾向があり、より孤立した特徴部をめっきする電流のためのより多くのイオン経路を有し、したがって、より低い抵抗及びより高いめっき率を有する傾向がある。一次電流分布は、特定の限定的な電気的化学的の場合では、本明細書の式3で提供するラプラスの方程式によって支配される。
R1*をR1に低減する(又は電気的めっきステップにおいて添加剤を添加することによって電流分布を一次電流分布よりも均一にする)重要性は、以下のように示すことができる。電気的めっきを受ける基板において、R1*が2であり、上記したようにイオン電流場の分布によって主に決定される場合、後続の電気的化学的除去の間、(同じ場の分布によって決定されるが、反対方向にある)R2も、約2である(R2を増大させない方策を取らないか又は取ることができない場合)。この場合、均一性の改善は達成されない。ラプラスの方程式からわかるように、一次電流分布は、電解質の特定の導電率又は他の特性に依存しない。したがって、一次電流分布(又はこの例ではR1*)は、常にR2未満である。本明細書で規定するように、例えば、表面抵抗を追加する添加剤を用いることによって、R1*をR1に低減する必要がある。溶液と界面との抵抗の組合せによって支配される電流分布を二次電流分布と呼ぶ。めっき添加剤を添加する以外の方法によってR1*をR1に低減し得るという例外が存在することを理解されたい。例えば、1つのそのような方法は、拡散又は対流抵抗条件を修正することによる。このため、それ以外の場合では電界のために、より高速にめっきするこれらの特徴部は、あまり露出の少ない特徴部よりも等価の合計抵抗を有するか、又はより高い質量移動さえ有する。この拡散又は対流抵抗は、それ以外の場合では、最も速いめっき特徴部が、相当になるか若しくは優勢になる(三次電流分布と呼ぶ)。しかし、電気的めっき又は他の方法の間に抑制及び/又は水平化添加剤の添加により、R1をR1*に対して例えば、1.5まで低減させると、R2による2のエッチバックにより、より厚い孤立構造体に対しより高速のエッチングがもたらされ、均一性の改善をもたらす。
動作205の後に形成した構造体は、図1Cに示され、金属充填部113は、全ての3つの特徴部107、108及び109で標的レベル115まで電気的化学的にエッチバックされており、このため、ダイ内均一性が改善されていることがわかる。電気的平坦化工程条件は、本明細書で後述する個別の電気的化学的形態の利用を介して、均一性の改善を制御するように構成することができる。いくつかの実施形態では、方法は、電気的化学的エッチバック工程の最後又は終了点の電量を決定することを伴う。標的厚さでめっきを終了するための電量制御、及び最終標的厚さへのエッチバックは、めっき及びエッチバック工程がほぼ100%又は100%に等しい電流効率を有する場合、最も容易で好ましく実施される(電流効率は、金属堆積若しくは除去をもたらす電流の一部である)。共通の硫酸/硫酸銅電解質中で銅めっきする場合、電流効率は、100%であるが、同じ電解質を使用するエッチングは、典型的には、100%よりもかなり少なく(例えば53%)、実際の値は、エッチング率、エッチング温度、バルク溶液濃度、流れ/対流条件及び時間に応じて変動する。したがって、以下で説明するように、パラメータが一定で、ほぼ100%の電流・エッチング/研磨効率(例えば、少なくとも約95%の電流効率等、少なくとも約90%の電流効率)を有する好ましいめっき・電気的化学的除去電解質が使用される。電量分析方法は、金属除去の間に金属除去セルを通過する電荷を測定し、この電荷と、標的レベルを超える過めっきの間に電気的めっきセルを通過する電荷とを比較することを伴う。めっき工程及びエッチング工程の両方が、同じ電子状態の陽イオンを形成する(例えば、2つの電子ステップ工程において、めっきにより、Cu+2からCuに還元し、エッチングにより、CuをCu+2に酸化する)場合、金属除去セルを通過する電荷(例えば、標的レベルを超える過めっきを通過した電荷)が所定値を超えると、エッチバックを一旦停止することができる。
電気的化学的エッチバックが完了した後、マスク層105を除去する(例えば、フォトレジストをフォトレジスト剥離によって除去する)ことができ、図1Dに示すように、複数の金属隆起及び/又はピラー113を有する基板が得られる。シード層103は、後続のエッチング動作において除去することができる。
本明細書で提供する方法によって改善し得る別の種類の均一性は、特徴部内均一性である。この工程は、図3A〜図3Dに示す概略断面構造体、及び図4に示す工程流れ図によって説明する。工程は、401で、貫通マスク特徴部を有する基板を準備することによって開始する。この基板は、3Aに示され、貫通マスク凹形特徴部107は、フォトレジスト層105内に存在する。次に、図4の403において、図3Bに示すように、金属を、凹形特徴部内に標的レベルを上回って電気的化学的に堆積させる。この場合、金属充填部113は、特徴部の直径にわたりより厚い部分及びより薄い部分があるため、特徴部内で不均一である。概して、特徴部内の不均一性は、限定はしないが、凸面ドーム特徴部(めっきされた特徴部の中心が特徴部の周辺部分よりも厚い場合)、凹面皿形特徴部(めっきされた特徴部の中心が特徴部の周辺部分よりも薄い場合)、並びに複数の小さな突出部及び凹部を含むことがある粗い特徴部を含めて、様々な形状で現れることがある。特徴部内の非均一形状の根本的な原因は、特徴間の不一致を引き起こすものと同じ要因の多くを含む(例えば、一次電流分布、及び非均一な特徴部内の流れの循環)。動作405において、工程は、金属の一部分を標的レベルまで電気的化学的に除去する一方で、特徴部内均一性を改善することに続く。得られた構造体を図3Cに示し、特徴部の形状は、改善され、金属充填部113は、標的レベル115で平坦化されている。次に、工程は、フォトレジストの除去により、図3Dに示す構造体をもたらすことに続いてよく、この構造体は、平らな平坦化上部分を有する単一ピラー113を示す。
ダイ内非均一性及び特徴内非均一性に対する正式な計算を図5A及び図5Bのそれぞれに示す。図5Aは、ダイ内(within−die、WID)非均一性を示す。複数のダイを有するウエハ上で、様々な特徴部の高さ(最も高い特徴部と最も短い特徴部との間の差)を各ダイに対して計算し、2で除算する。基板上の全てのダイに対するこれら半分の範囲の平均は、WID非均一性に関する測定値をもたらす。図5Bは、特徴部内(within−feature、WIF)非均一性の計算を示す。複数の特徴部を有する基板上で、各特徴部に対し、特徴部の最も厚い部分と特徴部の最も薄い部分との間の差として範囲を計算する。これらの範囲の平均が特徴部内非均一性である。これらの計算は、マスク除去後の特徴部に適用されるものとして図5A及び図5Bに示すが、同様に、マスク除去前に非均一性を計算及び/又は推定し得ること理解されたい。
有利には、本明細書で提供する方法は、ダイ内均一性のみ、又は特徴部内均一性のみを改善するために用いるだけではなく、両方を組み合わせて改善するために用いることもできる。例えば、発展した電気的化学的エッチバック方法を使用し、充填特徴部が異なる高さを有する基板を平坦化することができ、特徴部自体は、凹形状若しくは凸形状又は粗表面等の表面厚さの不規則性を有することがある。
更に、本明細書で提供する方法を使用し、ウエハ内非均一性(within−wafer、WIW)を改善することができる。いくつかの実施形態では、ウエハ基板の特定領域は、所望するよりも厚い又はより薄い電気的めっきを受けることができる。このことは、シード層厚さ及び/若しくはマスク層厚さの端から端までのウエハのばらつきのため、又はより一般的には、めっき工程若しくはめっき装置を最適に設計する能力が乏しいか若しくは制限されているために行ってよい。更に、このことは、(典型的には、ウエハ又は基板の縁部に位置する)ダイ、及び欠けている特徴部若しくは欠けているダイ若しくは欠けている部分ダイのダイ領域への隣接部を含む基板内で行ってよい。この形状は、「負荷作用」をもたらし、欠けている特徴部の領域付近に、より厚いめっきをもたらすことがある。径方向及び方位角のWIW非均一性は、単一特徴部型のダイ上で測定される厚さ半分範囲として、ウエハ直径にわたり、周辺部の周囲で、又はウエハ全体にわたり、複数の位置で測定されることがある。提供する電気的化学的除去方法は、これらの場合に電気的にめっきする金属の均一性を首尾よく改善することができる。いくつかの実施形態では、提供する方法を使用し、基板に2%未満のWIF、3%未満のWID、2%未満のWIW、及びそれらの任意の組合せをもたらすことができる。
電気的化学的金属除去の工程条件
本明細書で提供する電気的化学的金属除去工程は、(連続及び不連続の両方の)金属層の均一性を改善するように構成され、貫通マスクめっき特徴部、特に、(連続金属層をフィールド上に堆積する前にめっきが終了する場合の)不連続露出金属層を有する貫通マスクめっき特徴部の均一性の改善に特に好適である。そのような基板は、金属露出領域及び誘電体露出領域(例えば、フォトレジスト等のマスク)を含み、表面上のこの不連続の金属層は、電気的めっき及び電気的化学的金属除去の両方に特定の問題を呈する。本明細書で提供する方法は、いくつかの実施形態では、ウエハ表面で、電気的化学的エッチバックの間、均一性を改善する電解質の流体力学を構成する。いくつかの実施形態では、方法は、(基板での電位及び/又は電流の制御を介して)均一性を改善する電気的化学的形態を構成する。いくつかの実施形態では、方法は、エッチバックの均一性を改善する電解質の組成を構成する。いくつかの実施形態では、電気的化学的金属除去装置を連続的に使用する間、実質的に一定の濃度で電解質の成分を維持する方法を提供し、(例えば、約100〜5000の間等、約50を超える)多数のウエハ基板を、実質的に同一の電解質を使用して逐次的に処理し、これにより、エッチバックに対するウエハ間の再現性を改善できるようにする。方法は、陰極で生成される水素を分離、除去、希釈すること、又は金属除去の間、陰極上で金属をめっきすることを更に伴ってもよい。方法のこれらの特徴は、個別に又は互いに組み合わせて使用することができる。
本明細書で提供する電気的平坦化は、一般的に、(連続又は不連続)露出金属層を有する基板の作用表面を、電気的平坦化装置内に含まれる電解質に浸漬すること、並びに陽極側で基板にバイアスをかけることを伴い、式(2)によって示すように、金属を電解質に電気的化学的に溶解させる一方で、露出金属の均一性を改善する、例えば、ダイ内均一性、ウエハ内均一性及び/又は特徴部内均一性を改善する工程条件を構成するようにする。基板は、電気接点を介して陽極側でバイアスをかけられ、電気接点は、基板の導電性部分に接続され、電源に電気的に接続されている。基板が貫通マスク特徴部を含む場合、接点は、誘電体マスク層の下にある導電性連続シード層に対して作製される。接点は、典型的には(必ずしもそうではないが)、基板の周辺に作製される。電気的平坦化装置は、活性陰極又は不活性陰極であり得る陰極の対電極も含む。活性陰極の例は、ステンレス鋼、鉄又はニッケル陰極であり、これらは、工程の間、電解質中で金属イオンを還元することによって容易にめっき可能である。例えば、銅が基板から除去され、電解質中で溶解されると、銅金属層は、活性陰極上に堆積する。不活性陰極の場合、還元工程の全て又は一部により、水溶性電解質中で水素を生成する陽子還元等、基板上で電気的平坦化工程によって除去される金属の還元以外の電気的化学的反応をもたらす。金属の堆積及び/又は水素ガスの発生は、陽極での基板金属除去工程の間、陰極で生じ得る。例えば、除去金属が銅である場合、陽極側でバイアスをかけられる基板で反応(4)〜(5)が生じ、反応(6)〜(8)が陰極で生じる。
陽極での反応:
陰極での反応:
提供する方法の一実施形態によれば、金属除去の間、基板表面での電解質の流れが構成され、基板の作用表面に接触する電解質の流れが横断するようにする。電気的化学的エッチバックの間に横断流を使用すると、エッチバックの間、金属層に対する均一性を改善することを可能にする。というのは、このことは、特徴部への及び特徴部からの電解質の質量移動を促進するためである。この方法のための工程図を図6に示す。工程は、601で、金属層を有する基板を準備することによって開始する。この方法は、一般に、連続及び不連続金属層を有する基板を含む様々な基板上で使用することができる。しかし、めっきされた貫通マスク特徴部のエッチバックに特に有用であり、基板は、(不連続な)露出金属及び露出誘電体の両方を有し、金属特徴部は、例えば図1Bに示すように、連続シード層によって露出誘電体の下で電気的に接続されている。このことは、主に、フィールド負荷に対する特徴部の密度のばらつきが優勢であり、誘電材料によって分離される特徴部の露出効果の差のためである。連続的な導電性相互接続めっき可能又はエッチング可能フィールドの一部である凹形特徴部(ダマスク象眼模様にめっきしたウエハに典型的である特徴部等)は、フィールド金属の存在のために、可変電界濃度又は拡散露出のばらつきを同じ度合いで受けない。めっき又はエッチングは、特徴部の間で同時に行われ、これらの工程は、全般的な表面全体の上で生じる。誘電体内に埋め込まれ、互いに分離される特徴部は、稠密特徴部濃度及びあまり稠密ではない特徴部濃度の領域の間のフィールド及び環境露出内でより大きな度合いの対比を有する。貫通マスク特徴部のエッチバックに加えて、又はエッチバックと組み合わせて、横断流の実施形態は、これらの状況における電解質の質量移動に対する高い要求のため、高速で空間的に均一な除去率での(例えば、少なくとも約5μm/分の平均金属除去率での)貫通レジストめっき及びエッチバック、並びに(例えば、約100μmを超える幅を有する)より大きな特徴部及び(例えば、2:1よりも高い縦横比を有する)より高い縦横比の特徴部からのエッチバックで特に有用である。
図6を再度参照すると、工程は、603において、陽極側で基板にバイアスをかけ、電解質に浸漬することが続き、605において、ある流れの電解質、好ましくは空間的に均一な流れの電解質、最も好ましくは均一な横断流の電解質を基板と接触させてもたらし、基板から金属を電気的化学的に除去する一方で、金属層の均一性を改善することが続く。電解質の横断流は、基板の作用表面に実質的に平行である方向の電解質の流れである。あらゆる特定のモデル又は理論に拘束されることを望まないが、金属特徴部が誘電体平面の下に凹んでいると(特徴部がフォトレジスト平面の下にめっきされる場合、マスクした貫通レジストめっきで用いられる等)、表面を上回る領域内での横断流は、マスク開口凹形空洞の内側で流れのパターンを循環式に注流し、質量移動及び処理率の強化を引き起こすことが考えられる。本明細書で提供する電解質の横断流は、基板を回転させる以外の方法によって提供される。回転以外の流れ、同様に、好ましくは、より大きな度合いの流れに寄与する、回転以外の方法であるべきである。一般に、回転のみは、径方向に均一な横断流をもたらさず、例えば、基板中心にわたり横断流を一切もたらさず、このことは、ウエハのレベル処理の均一性に有害である。当然、基板の回転は、横断流の一部分に寄与することがあるが、主に、時間平均的に均一な流れの場をもたらし、ウエハ周辺付近の流れを増大させるために使用される。本明細書で提供する方法は、基板中心にわたる流れ速度(基板作用表面すぐ近くの、基板表面に平行で、基板中心点を交差する流れベクトルを指す)が、少なくとも約3cm/秒(例えば、少なくとも約5cm/s、少なくとも約10cm/s又は少なくとも約20cm/s)であるように、横断流を提供する。いくつかの実施形態では、横断流は、電気的化学的金属除去工程全体の間にもたらす。例えば、いくつかの実施形態では、横断流は、電気的化学的金属除去工程を実施する時間の少なくとも50%又は少なくとも80%でもたらすべきである。例えば、いくつかの実施形態では、電解質の横断流は、水掻き運動の方向変化の間に短い遊休時間を伴う往復水掻き機構によって生成することができる。
電解質の横断流は、様々な方法を使用して生成することができ、限定はしないが、電解質が、基板の作用表面に実質的に平行である方向で基板に近接するセルに入るような電解質の側方噴射;様々な流れの分流技法を使用して、電解質の流れの横断成分を生成又は増大させる流れの分流、往復水掻き又は水掻車運動等の運動要素を使用するセル内の横断流、及びこれらの手法のあらゆる組合せを含む。
図7Aは、横断流を生成する、流れの分流手法を示す。この例では、電解質の流れは、ウエハ基板に向かって上方に向けられる。電解質は、上方移動で、ウエハに極めて近接して(例えば約10mm以内)配置されたイオン抵抗性イオン透過性要素701を通過し、底部で、要素の基板に面する表面によって、上部で、ウエハの作用表面によって、及び側部で、流れ分流器要素703の壁によって画定した擬似室に入る。流れ分流器要素の壁は、一般に、要素の外周に追従し、矢印によって示すように、電解質が疑似室を出ることを可能にする1つ又は複数の開口を有する出口領域を有する。出口領域は、方位角的に非対称に配置され、これにより、要素から出た電解質の流れの分流をもたらし、ウエハ中心点にわたり非ゼロ速度で、電解質を横断流にする。
図7Bは、側方への電解質の噴射と、流れの分流との組合せを使用して横断電解質流を得る一例を示す。図7Bに示す例のように、電解質は、要素701を通じて上方に流れ、流れ分流器703によって横断流に分流するが、更に、全体的に流れ分流器の出口領域に向かう方向で基板表面に対し実質的に平行に電解質を噴射する電解質噴射ポート705がある。
これらの例は、横断流の生成に関する例示をもたらすが、横断流を生成する他の方法をし得ることを理解されたい。例えば、イオン抵抗性イオン透過性要素の存在は、いくつかの実施形態では必要ではないことがある。
いくつかの実施形態では、電気的化学的金属除去の間、横断流によりウエハを回転させることが好ましい。回転により、金属除去の過程の間、(ウエハ上のある点を基準点として取ると)横断流のベクトル方向を変化させ、したがって、特徴部内均一性を改善させる。回転率は、好ましくは、遅いべきであり、いくつかの実施形態では、角回転率は、基板縁部に接する線速度V?が、基板縁部で横断流量を超えないようなものであるべきことが発見された。線速度は、式(9)によって角回転率に関係する。
Dは、基板の直径(例えば30cm)であり、ωは、毎秒の断片的な回転における角回転率である。例えば、縁部にわたる横断流率が10cm/秒であり、ウエハが直径30cmである場合、角回転率は、ω<10/(π×30)=毎秒0.106回転であるか、又は毎分6.4回転(rpm)未満であるはずである。好ましくは、角回転率は、実質的に、このように得られた率、例えば、上記例では2rpmよりも少ないはずであり、角回転率が、ウエハ縁部の相対的な線速度及び横方向の電解質の流れに著しく寄与しないようにする。ウエハは、いくつかの例では、約0.5〜12rpmの間等、約0.5〜30rpmの間の回転率である。
電解質の組成
金属除去の間に使用される電解質は、典型的には、リン酸(H3PO4)、1−ヒドロキシエチリデン−1,1ジホスホン酸(HEDP)及び/又はアルカンスルホン酸(例えば、メタンスルホン酸、エタンスルホン酸若しくはプロパンスルホン酸)等の酸、好ましくは、中程度から高粘度を有する(例えば約4cPを超える粘度を有する)酸を含有する導電性液体である。電解質は、これらの酸の互いとの混合物、及び硫酸又は酢酸等の他の酸との混合物を含有してもよい。いくつかの実施形態では、グリセロール又はエチレングリコール等の非酸性粘度濃縮剤を電解質中で使用することができる。メタンスルホン酸濃縮溶液は、スズ、銀、鉛及びこれらの金属の合金、例えば、SnAg合金等の特定の金属の除去処理に特に有用であることがわかっている。様々な酸を使用することができるが、リン酸及びHEDPが、銅、ニッケル及びコバルトを電気的化学的に除去するのに好ましい。というのは、リン酸及びHEDPは、低価格であり、これらの酸を使用すると、電気的化学的金属除去の間、溶液からの銅、ニッケル又はコバルトの金属粒子の析出を最小化するか又は析出をもたらさない。対照的に、例えば、電気的化学的に銅を除去する間に硫酸を使用すると、かなりの量の銅の金属粒子の生成をもたらすことがある。このことは、+1のみの状態への、Cu+金属酸化、及び後続の第一銅イオンの不均化、並びにCu2+及びCu0金属粒子のために生成されると考えられる。粒子の生成は、基板上への欠陥、並びに他の機器及び工程に関する問題を引き起こすことがあり、好ましくは、回避すべきである。粘度を除去した電解質は、キレート化剤、例えば、有機ホスホン酸を含む錯化剤を含んでよい。
概して、電解質中でリン酸及び/又はHEDPと組み合わせて使用し得る酸は、硫酸、メタンスルホン酸、酢酸、過塩素酸等を含む。これらの酸の組合せを使用することもできる。これらの酸は、ニッケル、コバルト、銀スズ合金等、銅以外の他の金属により適している。電解質中の酸の濃度及び溶液粘度は、好ましくは、高くすべきである。例えば、いくつかの実施形態では、電解質は、重量45%超、例えば、重量約40〜65%の間等、重量40%を超える濃度でリン酸を含有し、電解質の粘度は、5cP等、約4cPを超える。
グリセロール、プロピレングリコール、及びエチレングリコールなど特定のグリコール、並びに様々な他の水溶性有機粘性化合物を高粘度生成ベース溶剤又は添加剤として様々な適切な可能性のある電解質中で使用することができる。これらの金属は、導電性ではなく、典型的には、水及び塩又は弱酸と組み合わせて使用される。そのような溶液は、主に、限定はしないが、弱酸(pH>1)又は非酸性電解質溶液(錯化剤及びキレート化剤を有する溶液を含む)が好ましい用途で有用である。このクラスの電解質の他の要素は、導電性の酸又は塩(例えば、硫酸、ナトリウム若しくは硫酸アンモニウム、チオ硫酸ナトリウム、テトラフルオロホウ酸ナトリウム)を含み、Pd、Pt、Ag、Rh、Ru、Ir及びAu等の金属のエッチングに有用である。
いくつかの実施形態では、電解質の組成は、粘度が、金属イオンの濃度の増大に伴って迅速に著しく増大する(例えば、それぞれの金属イオン含有量の2倍の増大に対して、20%を超える増大、例えば、30%を超える増大)ように選択される。電気的化学的金属除去が進行するにつれて、電解質中の金属イオンの濃度は、基板の作用表面付近で増大する。粘度と拡散率との間の関係について上記で説明したように、電解質が、この層の粘度も金属イオンの濃度の増大と共に増大するように構成される場合、表面付近のこの層の拡散は、かなり低減し、工程は、異なる深さ又は高さの特徴部内及び特徴部間でより良好な均一性をもたらす。
分子の拡散係数と粘度との間の関係は、ストークス・アインシュタインの式(10)によって示され、式中、Dは、拡散係数であり、kBは、ボルツマン定数であり、Tは、温度であり、μは、溶液の動的粘度(経時的に2乗される長さの単位)、及びrは、原子の水和原子半径である。
したがって、粘度が増大するにつれて、拡散は、式(11)に従って減速する。
あらゆる特定のモデル又は理論に拘束されることを望まないが、電気的研磨を、粘度が研磨金属の濃度と共に増大する溶液中で実施する際、研磨工程の質量移動率を制限する質量移動制限層が金属表面との界面付近の電解質内に形成されるまで、拡散率は、金属表面付近の金属含有量の増大と共に低減することが考えられる。また、質量移動層は、あまり露出されていない領域及び閉じ込められた空間領域内でより完全に又は効果的に形成される。本明細書で説明するリン酸及びHEDPに基づく電解質は、粘度の変化に依存する金属濃度に関する要件を満たす。
多くの実施形態では、金属除去工程の間の電解質の標的粘度は、好ましくは、約5〜12センチポイズの間等、約4センチポイズである。より高い粘度(例えば、7〜12センチポイズ)が、より小さな特徴部(約100μm未満、例えば、2〜60μmの幅を有する特徴部等)の電気的平坦化及び/又は特徴部内均一性を改善するためのいくつかの実施形態では好ましい。より大きな特徴部を電気的に平坦化する間、特に、より高い率の金属除去が望ましい場合、比較的より低い粘度(例えば、4〜7センチポイズ)を使用することができる。
いくつかの実施形態では、金属除去工程の開始時、電解質は、実質的に金属を含有しない一方で、各金属除去工程の開始から、電解質の一部として除去される金属の金属イオンを含めることが有利であることがわかった。金属イオンを工程の開始で含めると、工程の安定性及び再現性がより大きくなる。というのは、工程開始時における、金属イオンの濃度の大きな変動(並びに上記説明及び式を介して関連付けられるように、それに伴う粘度及び拡散係数の変動)を回避し得るためである。このことは、金属除去過程の間、1つの基板上、及び連続的に処理される基板上で電解質組成が実質的に一定に維持される実施形態で特に適している。更に、金属を金属除去工程の開始時に含めないと、所望の電気的研磨条件に到達するのにより長い時間を要することがある。金属含有電解質を用いる工程の流れは、図8に示す図によって示される。工程は、801で、露出金属層を有する基板を準備することによって開始する。限定はしないが、本明細書で説明する貫通マスク特徴部を有する基板を含む様々な基板を使用することができる。比較的より小さな特徴部を有する基板、及び特徴部内均一性の改善を必要とする基板は、この方法から特に恩恵を受ける。803において、陽極側で基板にバイアスをかけ、除去を目的として金属イオン含有電解質に浸漬する。例えば、基板が電気的平坦化を必要とする銅層を有する場合、電解質は、銅イオンを含有し、除去すべき金属がニッケルである場合、電解質は、ニッケルイオンを含有する等である。いくつかの実施形態では、銅除去の開始時(基板浸漬時)、銅イオンの濃度は、約0.1〜2モル/リットルの間の範囲であり、より好ましくは、約0.2〜1.5モル/リットルの間の範囲である。一実装形態では、電解質は、リン酸銅(II)水溶液(重リン酸塩等、全ての種類のリン酸塩を含む)及びリン酸を含有するか又は本質的にこれらからなる。別の実装形態では、電解質は、HEDPの銅塩水溶液及びHEDPを含有するか又は本質的にこれらからなる。いくつかの実施形態では、電解質は、金属酸化物又は水酸化物、例えば、酸化銅(II)又は水酸化銅(II)を酸中(例えばリン酸中)に溶解させることによって調製される。例えば、リン酸銅溶液は、水酸化銅(II)をリン酸水溶液中に溶解させることによって調製することができる。酸は、酸化物又は水酸化物と反応し、酸の金属塩、及び水を生成する。いくつかの実施形態では、電解質を調製する方法は、金属酸化物及び/又は水酸化物(例えば、酸化銅若しくは水酸化銅)を酸中に溶解させ、次に、生成された溶液をより濃縮させた酸と混合することを含む。例えば、酸化銅及び/又は水酸化銅を希釈リン酸中に溶解し、次に、より濃縮させたリン酸と混合してよい。次に、メタンスルホン酸、塩化物、及びめっき抑制剤等の添加剤を任意選択で添加してよい。
いくつかの実施形態では、金属イオンを電解質から還元するように構成した陰極を用いる特定の電解質として、電解質は、ポリアルキレンオキシドのクラス又はポリアルキレングリコールのクラスからの化合物等のめっき抑制剤を含む。例えば、電解質は、置換又は非置換ポリエチレンオキシド及び/又はポリエチレングリコールを含んでよい。これらの添加剤は、陰極上に堆積する金属層の形態を改善する。更に、形態は、30g/Lを超える銅濃度(銅イオン濃度を指す)及び625g/Lを超える濃度のリン酸を有する電解質等、かなり濃縮させた電解質の使用により改善することができる。いくつかの実施形態では、高過飽和電解質を使用してもよい。基板を電解質に浸漬した後、805に示すように、電気的化学的金属除去工程が進行し、金属層の均一性が改善される。いくつかの実施形態では、電解質中の銅濃度は、全金属除去工程の間、0.1〜2モル/リットル範囲内にある。いくつかの実施形態では、工程は、本明細書で後述するように、金属除去工程全体を通じて、複数のウエハの処理の間、電解質中の金属イオン濃度が実質的に一定レベルで維持されるように制御される。
金属除去条件の調整に使用し得る別のパラメータは、電解質の温度である。温度の変化は、非均質反応過程及び電解質の特性(導電率及び粘度)の両方を変化させる。温度は、いくつかの実施形態では、約20から約45℃に及ぶ。いくつかの実施形態では、約25℃を超える温度で加熱した電解質を使用して金属除去を実施することが好ましい。例えば、いくつかの実施形態では、工程は、約27〜40℃の間の範囲による電解質温度で実施される。より温度が高いほど、より高い電気的エッチング率及び研磨率がもたらされ、(工程を開放雰囲気条件で作業する場合)より大きな水分蒸発率ももたらされる。ウエハは、めっきセル及びめっき浴に入る前に事前に湿潤されることが多く、ウエハは、典型的には、処理の後にすすがれ、すすぎ水の一部は、セル及び浴槽内に入ることがあるので、他の工程による水の吸収率を超える蒸発率が有利である。ウエハの事前湿潤は、電気的エッチング/電気的研磨電解質と同じ又は同様の組成を有する事前湿潤液を使用して実施することもでき、処理電解質に水が流入するのを最小化する。より高温での処理は、到来する水を、水が添加されるよりも迅速に除去することを可能にし、含水量変化を周期的に測定し(又は計算、予測し)、水を浴槽/セルに周期的に添加することによって、所望の限度内での含水量を保つ工程で用いることができる。
表1は、貫通マスク特徴部を有する基板に対する均一性の改善に使用した電解質組成及び温度のいくつかの例を提供する。
多くの実施形態では、金属除去の間に使用される電解質は、電気的めっきの間に使用される電解質とは実質的に異なる。例えば、いくつかのケースでは、電気的めっきは、硫酸等の酸、硫酸銅等の金属イオン、及び抑制剤(例えば、平均分子量約1000のポリエチレングリコール)、レベラー(例えば、ポリアミンレベラー、例えば、四級ポリアミン)、促進剤(二硫化ビス(スルホプロピルナトリウム)等)等の1つ若しくは複数の添加剤、又はそれらの組合せを含む電気的めっき電解質を使用して、基板上で実施する一方で、電気的めっきの後、電気的平坦化ステップが続き、いくつかのケースでは、電気的平坦化の電解質は添加剤を一切含まない。いくつかの実施形態では、電気的めっき及び電気的平坦化の間に使用される主な種類の酸は、異なるか、又はめっきで使用される酸(例えば、硫酸)は、電気的平坦化では完全に存在しない。いくつかの実施形態では、同じ主要な酸がめっき電解質及び電気的平坦化電解質の両方に存在する(例えば、メタンスルホン酸をめっき及び電気的平坦化の両方のために電解質中で使用する)場合、めっき溶液中の酸の濃度は、20重量%未満、例えば、15重量%であり、電気的平坦化電解質中の酸の濃度は、典型的には、45重量%を超え、例えば、50重量%又はそれ以上である。高度に濃縮させた酸溶液は、導電率が、酸濃度がより低い溶液よりも低いことがある。最大導電率に対応する酸濃度は、酸の性質に応じて変動する。高度に均一なめっきを達成するため、典型的には、導電率が最大であり、添加剤の影響及び安定性が最大である溶液を使用することが望ましい。高度濃縮酸溶液は、より低い導電率を有し、めっき添加剤を急速に分解することがある。一実装形態では、電気的めっきは、表面分極化添加剤(抑制剤及び/又はレベラー化合物)を含有する硫酸及び/又はメタンスルホン酸を含有する電解質中で実施され、その後、主な酸としてリン酸及び/又はHEDPを含有する電解質中での電気的平坦化が続く。別の実装形態では、すず又はすず合金(例えばSnAg、PbSn)のはんだ膜を、メタンスルホン酸スズ(30〜70g/L)を更に含有し、めっき添加剤を含有するメタンスルホン酸電解質(100から200g/L)中でめっきし、電気的平坦化を、同様にメタンスルホン酸スズ(30〜70g/L)を含有し、添加剤を実質的に含有しないメタンスルホン酸電解質(40〜65重量%)中で実施する。
電気的エッチング及び電気的研磨の形態
電気的化学的金属除去は、2つの個別の電気的化学的形態で実施することができ、それぞれが、固有の工程挙動及び特性、並びに相対的な金属除去率に対する効果を有することが発見された。これらの形態を本明細書では電気的エッチング及び電気的研磨と呼ぶ。
電気的エッチング形態では、金属除去率は、主に、電解質中のオーム抵抗によって、即ち、電流分布自体が、電解質中の抵抗及び関連する電界の空間分布のために、どのように構成されるかによって支配される。表面反応抵抗及び質量移動(対流)抵抗は、この形態では決定的な因子ではない。したがって、例えば、電気的エッチング形態において、より露出されている特徴部は、これらの特徴部から生ずる電解質へのより多数の3次元電流経路を有し、より低いイオン抵抗を有し、したがって、より大きなイオン電流を受け、より大きなイオン抵抗及びより小さいイオン電流を受ける特徴部(例えば、いくつかの他の特徴部に近い特徴部)よりも高い率でエッチングされる。このことは、陰極901に露出した3つの金属充填貫通マスク特徴部903、905及び907の基板の一部分の2次元投影を示す図9Aに示される。電気的エッチングの一形態において、より孤立した特徴部903は、より稠密な特徴部905及び907よりも大きなイオン電流を受け(経路及び大きさは線によって概略的に示し、電流量は、各隣接するセットの線の間の各空間において同じである)、これらの特徴部905及び907よりも速い率でエッチングされる。電気的エッチングのための電流分布を決定する際の主要因は、特徴部の相対的な空間分布であるが、電気的エッチング率及び相対的なエッチング率は、特徴部の金属凹部の深さと共に変化し得ることに留意されたい。というのは、所与の特徴部がより凹むほど、この特徴部に対する合計イオン抵抗のより大きな部分は、マスク−電解質境界909及び特徴部の凹部内の平面を下回るためである。このことは、空間分布に対する影響を最小化する傾向がある。あらゆる特定のモデル又は理論に拘束されることを望まないが、概して、電気的エッチング率は、特徴部の深さがその幅の約半分未満であるか又はその幅の約半分未満に等しい限り(1:2未満の縦横比)、実質的に一定であり、他の特徴部に対する特徴部の相対的な近接度に依存する。多くの対象とする場合では、特徴部は、これら物理的制約条件下で処理される。この条件と共に、エッチングを約1:1未満の縦横比で行う多くの例では、選択した特徴部のエッチング率は、電気的エッチング工程全体にわたって、依然として実質的に一定であるが、特徴部は、金属が除去されるにつれてより一層深くなる。例えば、図9Aに示す基板を参照すると、電気的エッチング形態では、孤立特徴部903のエッチング率は、それほど孤立していない特徴部905のエッチング率を超え、それほど孤立していない特徴部905のエッチング率は、より一層孤立していない特徴部907のエッチング率を超え、各特徴部のエッチング率は、実質的に一定である。
電気的研磨形態は、主に、質量移動の制限によって支配され、この質量移動は、高度に粘性の膜、及び関連する質量移動抵抗層の形成に関係し、これらの層は、特徴部−電解質界面で、及び凹形特徴部において、並びに十分に高い電位及び適切な対流条件で形成される。電気的研磨形態において、金属除去率は、特徴部に、及び特徴部の周囲に印加する電位又は電解質中の電界分布にあまり依存しないが、質量移動を制限する拡散対流工程に対する特定の特徴部の露出に依存する。したがって、電気的研磨形態において、あまり凹んでいない、より露出される特徴部内の金属除去率は、より凹んでいる、あまり露出されない特徴部の金属除去率を超え得る。更に、単一特徴部内で、特徴部のより厚い(より高位の)、より露出される部分は、いくつかの実施形態では、比較的薄い(より低位の)部分よりも高い率の金属除去を受ける。比較的十分に露出される特徴部の電気的研磨は、安定した金属除去率で行い得るが、電気的研磨が特徴部があまり露出されなくなるまで継続される場合、この特徴部からの金属除去率は低減する。したがって、いくつかの実施形態では、電気的研磨は、特徴部又は特徴部内の突出部から金属を電気的化学的に除去することを含み、電気的研磨の開始において、この特定元素からの金属除去率は、電気的研磨工程の終了に向かう金属除去率を超える。電気的研磨除去率は、例えば、図9B及び図9Cを参照して示すことができる。図9Bは、電気的研磨する前の基板の概略断面図を示し、基板は、3つの貫通マスク特徴部913、915及び917を有する。この例では、特徴部913は、最も高位の最も厚い特徴部であり、特徴部915は、特徴部917よりも薄く、特徴部913は、最も薄く、3つの全ての特徴部のうち最も低位である。特徴部は、ドーム形でもあり、より厚い中心部分及び縁部により薄い部分を有する。電気的研磨形態において、最も高位の特徴部913からの金属除去率は、最初、より低位の特徴部915からの金属除去率を超え、より低位の特徴部915からの金属除去率は、最も低位の特徴部917からの金属除去率を超える。電気的研磨が進行し、特徴部がより深く、あまり露出されなくなるにつれて、金属除去率は、低減し、最終的に、特徴部高さの差の低減、したがって、平坦化がもたらされる。更に、ドーム化は、電気的研磨によっても低減される。というのは、ドームのより多く露出される中心部は、側壁付近の、ドームのあまり露出されていないより低位の部分よりも高い率でエッチングされるためである。電気的研磨の結果、図2Cに示す構造体を得ることができ、特徴部の間の厚さの差は、低減し、特徴部内形状は、実質的により平坦になる。
電気的研磨は、特徴部の露出及びイオン電流環境に対して、電気的エッチングほど著しく高感度ではなく、(最も孤立した特徴部ではない場合でさえ)あまり凹んでいない特徴部からの金属除去が、より凹んでいる特徴部からの金属除去よりも速い率で可能であることに留意されたい。より凹んでいる特徴部が(図9Bに示すように)より孤立した特徴部であった場合、そのような傾向の除去率は、電気的エッチング形態では可能ではない(電気的エッチングでは、より孤立した特徴部は、より速くエッチングされる孤立した特徴部であり、必ずしも、凹みが最も少ない特徴部ではない)。しかし、電気的研磨は、(図9Bに示すように)孤立した特徴部が他の特徴部よりも凹んでいる(より低位の)基板、及び(図9Aに示す基板のように)孤立した特徴部が他の特徴部ほどあまり凹んでいない(より高位の)基板の両方を平坦化するために首尾よく使用することができる。更に、電気的研磨形態における金属除去は、全体に、電気的エッチング形態における金属除去よりも平滑で平坦な金属特徴部表面をもたらすことが発見された。
電気的研磨形態及び電気的エッチング形態は、異なる種類の均一性(例えば、特徴部内、ダイ内及びウエハ内)を改善し、特徴部の表面粗さを低減し、平坦化率を最適化し、したがって、基板の処理能力を改善する、固有のツールセットを提供する。いくつかの実施形態では、金属除去は、工程が特定の形態内にあるように構成されるように実行される。電気的エッチング及び電気的研磨は、電気的エッチング及び電気的研磨を行う電位が異なり、電位とは、電気的化学的金属除去の間の基板電位を指す。電気的エッチングは、金属除去の間、基板電位が臨界電位を下回って維持される際に行われ(好ましくは、臨界電位を下回る100mV等、少なくとも50mV)、電気的研磨は、金属除去の間、基板電位が臨界電位を上回って維持される際に行われ(好ましくは、臨界電位を上回る200mV等、少なくとも100mV)、この臨界電位は、本明細書で説明するように決定することができる。あらゆる特定のモデル又は理論に拘束されることを望まないが、電気的研磨が行われる間、十分な金属除去率で駆動しなければならず(したがって、十分に大きな電位を印加しなければならない)、金属含有量が電解質中で増加するにつれて拡散係数が急速に低減するために、質量移動を阻止する抵抗性膜が界面付近に形成されることが考えられる。臨界電位は、基板上での特徴部の分布、電解質の化学的性質及び電解質の横断流量に依存し得るが、処理される基板と同様であり、予期される処理条件と同様の条件下で処理される基板からのデータに基づき推定し得ることに留意されたい。処理される基板上の特徴部の分布と同一である特徴部の分布を有する基板を使用してより正確に決定することもでき、臨界電位の決定に使用する基板は、処理される基板で予期されるのと同じ条件下で処理される。電気的エッチング又は電気的研磨を実施する際、臨界電位を意識し、例えば、参照電極を使用して電位を監視することによって、所望の形態で工程を実施するステップを取ることを理解されたい。ウエハ表面の近く、又はウエハ表面への電圧降下が小さいセル内の点(例えば、電流がウエハに若しくはウエハからほとんど流れないか、電流が流れない平面内)に位置する参照電極を有することが有用である。しかし、電気的めっき又は電気的研磨を実施するステップ自体は、臨界電位を決定するステップを伴わない。臨界電位は、指示書若しくは指示プログラムの形態で、ユーザにもたらすことができるか、又は金属除去前に、ユーザ若しくはサービス提供業者が、本明細書で説明する推定、計算モデリング及び/若しくは決定方法、又は別の適切な方法を使用して推定若しくは決定することができる。
図10は、臨界電位の推定に使用し得る電流−電圧グラフを示す。エッチング形態及び研磨形態は、電極(ウエハ)/電解質システムの電流−電圧(I/V)挙動を調査することによって、図10から特定することができる。エッチング形態は、陽極で開始する、電解質中で特定の金属(例えば、銅)平衡な電位の形態である。この形態では、電流は、印加した電位と共に(図9に示すケースでは線形的に)増大する。電位の更なる増大により、研磨形態への移行につながる。研磨形態は、電流が一連の印加電位(例えば500mV)にわたり実質的に一定である形態である。臨界電位は、2つの接線の交差に対応する電位として推定することができ、第1の接線は、電流安定状態領域に描かれ、第2の接線は、高速電流成長領域に描かれる。
エッチング形態と研磨形態との間の境界面において、わずかな移行領域があることがあり、この移行領域は、時として(電圧傾斜率に応じて)電流のピークを伴うことがある。このピークのサイズ及び幅は、一連の電位段における電圧傾斜率又は時間に依存し得る。研磨形態を上回る電圧では、電解質からの酸素発生が開始され、電流を再度電圧と共に増大させる。電位を連続的に掃引することに加えて、例えば、各ウエハが所定の電圧で処理される一連のウエハから金属を電気的化学的に除去し;得られた電流を測定し、一連のウエハに関する電圧−電流の関係をグラフ化することによって図10に示す曲線を構築することができる。
以前に述べたように、臨界電位は、電解質組成のみに依存するのではなく、電解質温度及び電解質の横断流量にも依存する。図11は、臨界電位が、横断流量の変化と共にどのように変化するかを示す。図11は、同一条件下で処理した基板に関する3つのI−V曲線を示し、唯一の差は、横断流量である。横断流量が曲線(a)から(b)及び(c)に増大するにつれて、臨界電位は、より高い値に推移する。研磨電流が、流量の増大と共に増大することにも留意されたい。流れがより高いほど、拡散−抵抗膜の上側部分の材料は、より急速に除去されるため、膜が全体により薄く、あまり抵抗がないことが考えられる。臨界電位の推移は、いくつかの実施形態では、電解質の横断流の変化を使用して、エッチング形態と研磨形態との間の移行の制御に利用することができる。
臨界電位のより正確な決定は、処理されるウエハ基板(即ち、基板上で同じ分布の特徴部を有する)と同一である1つのウエハ基板を使用して、実際の処理の間に使用されるのと同じ電解質及び電解質の流量を利用して、実行することができる。基板を電解質に浸漬し、設定した電位を基板に印加し、電流を連続的に測定する。電位は、同じ基板で段階的に増大し、電流を経時的に測定する。得られた図1201は、時間に対する電流の依存性を示す図12に示し、電圧は、0.1Vから1Vに0.1Vの増分で、1増分につき約30秒で段階的に増大する。定常状態電流は、各増分の最後の10秒で得られた電流の平均として取った。代替的に、増分全体にわたる平均電流値、又は各増分の終端における電流値を定常状態電流値として取ることができる。次に、電圧を関数とする定常状態電流値をグラフ化し、図12に示すグラフ1203で示すグラフをもたらす。定常状態電流は、正方形として示し、実際に測定した電流は、点として示す。誤差の線条は、各電圧における電流値の1つの標準偏差を示す。このグラフにおける臨界電位は、この例では電流が0.4Vでピークである電圧に対応する。エッチング形態は、(0.35〜0.4Vでの電流は比較的不安定であることがあるので、移行領域を配慮するために)0.4V未満、好ましくは、0.35V未満の電位に対応し、研磨形態は、(0.35〜0.4Vでの電流は比較的不安定であることがあるので、移行領域を配慮するために)0.4V超、好ましくは、0.55V超の電位に対応する。これらのケースにおいて、電流にピークがないが、単に、正の傾斜からゼロの傾斜領域への変曲がある場合、変曲点の電圧が臨界電位に対応する。臨界電位のより正確な決定が望ましい場合、又は電圧段が比較的より大きい場合、臨界電位は、グラフに2つの接線を描くことによって決定することができ、1つの接線は、正の傾斜を示す領域からの最後の実験点によるものであり、1つの接線は、負の傾斜又はゼロの傾斜を示す領域からの第1の実験点によるものである。2つの接線の交差に対する電圧は、臨界電位に対応する。
図13は、特定の電気的化学的形態を使用する、電気的平坦化工程の例示的工程流れ図を提供する。1301において、露出金属層を有する基板を準備する。次に、1301において、電気的化学的形態を基板のために選択する。選択は、改良する必要がある特定の種類の均一性によって、及び/又は処理能力を考慮することによって、管理することができる。電気的エッチング工程は、稠密貫通レジスト特徴部及び孤立貫通レジスト特徴部を有する基板上でのダイ内均一性の改善に好適であり、異なる直径の貫通レジスト特徴部を有する基板にも好適である。電気的エッチングは、ウエハ内均一性を改善するために使用することもでき、特徴部内の凹形状又は凸形状を平坦化するために適用することもできる。電気的研磨は、これらの種類の非均一性を改善するために使用することもできるが、更に、表面粗さを最小化するために用いることができる。電気的研磨は、最も厚い特徴部が孤立特徴部ではない場合、特徴部の高さの範囲を低減するために使用することもできる。電気的エッチングによる金属除去率は、典型的には、電気的研磨による金属除去率よりも低いが、電気的エッチングは、所望の標的均一性を電気的研磨よりも迅速に達成することができることが多い。したがって、処理能力を考慮する場合、いくつかの実施形態では、電気的エッチングを単独で又は電気的研磨の前に使用する。作業1305において、基板の金属層を臨界電位を下回って電気的エッチングする、及び/又は臨界電位を上回って電気的研磨する。好ましくは、基板の近傍の電位を測定するように構成した参照電極を使用し、金属除去が所望の電気的化学的形態で実施されることを保証する。いくつかの実施形態では、電気的平坦化ステップ全体を電気的エッチング形態で実施する。いくつかの実施形態では、電流制御条件下で電気的エッチングを実施することが好ましい。図10を参照すると、「安定状態」電気的研磨領域の比較的安定した電流値を下回る電流(I研磨)を維持すると、電気的エッチングがもたらされることがわかる。したがって、いくつかの実施形態では、電気的エッチングは、臨界電位を下回って実施されるが、積極的な電位制御を伴わず、電気的エッチング形態に対する制御は、電気的研磨の電流よりも低いレベルで電流を維持することによって実施される。いくつかの実施形態では、電流は、電気的エッチングの間、一定レベルで維持する。他の実施形態では、電流は、電気的エッチングの間に変更するが、依然として、研磨電流を下回ったままである。電位制御条件下で電気的エッチングを実施することも可能であるが、いくつかの実施形態では、電流制御条件が好ましい。というのは、電流制御条件は、電流を正確に制御するのがより容易であることが多く、実装するハードウェアをより安価にすることができ、(除去率に直接的に比例する)所与の電流でどのくらいの材料を除去するかについて予測することが、(除去率が工程全体を通じて変動することがある)所与の電圧でどのくらいの材料を除去するかについて予測するよりも容易であるためである。
いくつかの実施形態では、銅の電気的エッチングを0.1〜0.7Vの間の電位で実施し、銅の電気的研磨を銅電極に対して約0.7〜2.0Vの間の電位で実施し、電気的研磨の間に使用される電位は、電気的エッチングの間に使用される電位を超える。
電気的研磨形態を選択した場合、いくつかの実施形態では、電気的研磨は、電位制御を使用して実施する。例えば、基板の電位は、基板の近傍、又は同等の位置に位置する参照電極を使用して、臨界電位を超える(例えば、臨界電位よりも少なくとも約0.1V大きい)ように直接的に制御することができる。典型的には、電流は、電気的研磨作業過程の間に変化するため、通過した電荷を一体化し、この電荷と標的終了点で除去される電荷とを比較することが有用である。
電気的エッチング形態は、均一性の迅速な改善を提供する一方で、いくつかの実装形態では、電気的エッチングの後、電気的研磨を逐次的に実施することが望ましいことがある。このことは、電気的エッチングが金属特徴部上に比較的粗い表面をもたらすことがあるためである。更に、場合によっては、電気的エッチングは、他の特徴部よりも最初に厚かった特徴部に対し過剰なエッチングを生じさせることがあり、このことは、均一性に影響を与える。電気的研磨工程は、より自己調整式である傾向がある。それほど深くない特徴部は、より深い特徴部よりも迅速に除去されるが、特徴部の深さが同じになるにつれて、2つの特徴部の間の除去率は、同様になる。例えば、図1Bに示すもの等の開始基板を処理する場合、孤立特徴部における電気的エッチング率は、電気的エッチング工程全体を通じてより稠密な特徴部の電気的エッチング率を超え、最終的に、図15Aに示す構造体をもたらすことがあり、この構造体では、孤立特徴部が標的レベルを下回って過剰にエッチングされている一方で、より稠密な特徴部がちょうど標的レベルに到達している。この工程は、電気的エッチング・ステップを実施する前により厚くめっきすることによって回避してもよいが、この工程は、電気的研磨が提供するような、平滑及び平坦な特徴部の表面等の望ましい属性を有さない可能性がある。したがって、代替的に、この問題は、特徴部のいずれかが標的レベルに到達する前に電気的エッチングを停止し、金属除去形態を電気的研磨に切り替えた場合、回避される可能性がある。このことが起こるかどうかは、使用する電気的研磨工程の間、孤立特徴部に対する稠密特徴部の相対的な除去率によって左右される。電気的研磨は、経時的に低減でき、選択した特徴部の、対流への露出に左右される可変速度で金属を除去することができるため、最終的に、この2段階方法を使用して、平坦化した構造体を得ることができる。この方法は、図14に示す工程流れ図によって、図15B〜図15Eに示す構造体により示される。工程は、1401において、露出金属層を有する基板(例えば、貫通マスクめっき特徴部を有する基板等、不連続な金属層及び露出誘電体層を有する基板)を準備することによって、開始される。そのような基板の例示は図15Bに提供する。この例では、基体は、3つの特徴部1503、1505及び1507を含み、より孤立した特徴部1507には、残りのより稠密な特徴部1503及び1505よりも高いレベルで金属が充填されている。更に、この例示では、全ての3つの特徴部1503、1505、1507は、特徴部内にドーム形金属充填部を有する。工程は、1403において、臨界電位を下回る金属の電気的エッチングに続く。電気的エッチングは、より孤立した特徴部1507で、残りの2つの特徴部で進行するのよりも迅速に進行するにつれて、特徴部の間の厚さのばらつきを著しく低減する。しかし、電気的エッチングは、この例では、個々の特徴部内のドーム形状を実質的に低減しない。得られる構造体を図15Cに示す。電気的エッチングが更に進行するにつれて、図15Dに示すように、個々の特徴部の厚さの反転が生じることがある。図15Dは、孤立特徴部1507が、今や、最小の金属厚さを有する特徴部になったことを示す。次に、1405において、条件を変更し、金属の一部分を臨界電位を上回る電気的研磨形態で除去する。電気的研磨の後に得られた構造体を図15Eに示す。電気的研磨は、特徴部内の厚さのばらつきを著しく低減し、特徴部の間の厚さのばらつきを低減することに加えて、ドーム形状を実質的に平らにする。好ましくは、参照電極を使用し、少なくとも工程の一部分の間、又は電気的エッチング及び電気的研磨全体の間、電位を監視する。いくつかの実施形態では、電気的エッチングを実施する一方で、電流を研磨電流よりも低く制御し(このことにより、臨界電位を下回って電位を間接的に保つ)、次に、積極的な電位制御に移行し(例えば、電位の印加を増大させる)、電気的研磨工程に移行し、電気的研磨工程全体を通じて、臨界電位を上回るまで電位を直接的に制御する。
方法は、臨界電位を下回る電気的エッチング、及び臨界電位を上回る電気的研磨を伴う一方で、臨界電位自体は、電解質の流量及び温度等の工程条件に依存することに留意されたい。いくつかの実施形態では、電気的エッチングから電気的研磨への移行は、印加する電位を増大させることに加えて、又は更には印加する電位の増大を伴わずに、電解質の横方向の流量を低減することを含み、横断流を低減する大きさは、電気的エッチングから電気的研磨形態に工程を推移させるように構成する。例えば、一実施形態では、基板は、これらの条件の間、臨界電位を下回る電位に対応する、制御された電流で電気的エッチングされる一方で、第1の横断流量で電解質を供給する。次に、電解質の流量を低減し、印加する電位を変化させずに、工程を電気的研磨形態に移行させ、電気的研磨形態では、電位は、今や、横断流量が低い条件で、臨界電位を上回っている。
図16A〜図16Dは、4つの異なるウエハからの様々な処理シーケンスの後に得られた、50μm幅及び約30μmの高さの銅ピラーのSEM写真である(フォトレジスト剥離後を示す)。全てのピラーは、高速めっきにおける同じ条件下で、凹形特徴部を電気的に充填した後に得られ、全体に粗い金属表面をもたらす。図16Aは、制御例であり、銅電気的めっきの後の、電気的エッチング・ステップ又は電気的平坦化ステップを一切伴わないピラーを示す。上面は、かなり凸凹しており、形状がドーム形であることがわかる。図16Bは、電気的めっき、その後、電気的研磨のみの後に得られた銅ピラーを示す。ほぼ全ての高さのばらつきは、電気的研磨によって取り除かれていることがわかる。図16Cは、電気的めっき、その後、電気的エッチングのみの後に得られた銅ピラーを示す。より大きな厚さの差は、この方法によっていくぶん改善されたが、電気的エッチングの後に得られた表面粗さは著しい。図16Dは、電気的エッチング(金属除去時間の80%)、その後、電気的研磨(金属除去時間の20%)を使用して処理した銅ピラーを示す。平滑な表面が得られたことがわかる。
電解質成分の恒常性
いくつかの実施形態では、電気的化学的金属除去を実施する一方で、基板上での電気的化学的金属除去過程の間、又は複数の基板上での逐次的な電気的化学的金属除去過程の間、電解質成分の恒常性を維持する。恒常性の維持は、予測可能で一定のセットのウエハ処理結果(例えば、WIF、WID及び金属除去率におけるウエハ間の一貫性)を維持するために重要であり、電解質の1つ又は複数の成分の濃度を制御し、濃度が、わずかな規定量を超えて標的濃度から変動しないようにすることを伴う。代替実施形態では、実質的に一定の電解質の粘度は、基板上での電気的化学的金属除去過程の間、又は複数の基板からの逐次的な電気的化学的金属除去過程の間、維持される。本実施形態では、粘度は、1つ又は複数の粘度センサを使用して制御され、規定量を超えて標的粘度から変動可能にしない。粘度は、あまり粘性の高くない流体を添加する(例えば、水を酸ベースの電解質に添加する)ことによって、及び/又は粘度が所望するよりも高い場合、温度を上昇させることによって調整し、これにより、粘度を所望のレベルで保つことができる。多くの実装形態では、電解質成分濃度の恒常性を維持することが好ましいが、実質的に一定の粘度を維持することは、同様に、工程率及び処理特性を実質的に変化させずに保つために使用することができる。いくつかの実施形態では、電解質中の金属イオン及び/又は陰イオン及び/又は陽子の濃度は、標的濃度から規定の許容量を超えて逸脱しないように制御される。用語「標的レベルで濃度を維持する」は、標的濃度からの許容偏差内の範囲で濃度を維持することを指す。例えば、銅イオンの標的濃度が50g/Lであり、許容偏差(変動)が5%である場合、銅の濃度は、銅の濃度が50g/Lの5%未満から50g/Lの5%を超える間の範囲内、即ち、47.5〜52.5g/Lである場合に標的レベルで維持される。概して、許容可能な偏差は、標的種の変化が、処理率、平均特徴部除去率、特徴部の間の相対的な除去率又は平坦化率(対比)、特徴部の形状の平坦化特性若しくは平坦化率等に与える影響に対して決定される。
この工程を図17に示す。1701において、露出金属層を有する基板を準備した後、1703において、陽極側で基板にバイアスをかけ、電解質に浸漬する。次に、1705において、金属が電気的化学的に除去され、金属層の均一性が改善されるように基板を処理する一方で、電解質中の金属イオン及び/又は酸(陽子)の濃度を標的レベルの約10%内に維持する。この例では、許容される偏差は10%である。いくつかの実施形態では、金属イオン及び/又は酸の濃度は、標的レベルの約5%以内、例えば、標的レベルの約2%以内で維持される。好ましい実施形態の1つでは、金属イオン及び酸の両方の濃度を制御する。例えば、一実装形態では、電気的化学的に金属を除去する間、銅イオンの濃度を、銅の標的レベルから5%を超えて、又はより好ましくは2.5%を超えて変動しないように維持し、酸の濃度を、酸の標的レベルから2%を超えて、又はより好ましくは0.5%を超えて変動しないように維持する。例えば、銅イオンの標的濃度が60g/Lであり、リン酸の標的濃度が48重量%であるシステムにおいて、恒常性は、銅の濃度を、約57〜63g/Lの範囲(標的レベルの約5%以内)で維持することによって、より好ましくは、約58.5〜61.5g/Lの範囲(標的レベルの約2.5%以内)で維持する一方で、リン酸の濃度を、約47.04〜48.96重量%の範囲(標的レベルの約2%以内)で維持することによって、より好ましくは、約47.76〜48.24重量%の範囲(標的レベルの約0.5%以内)で維持することによって達成することができる。いくつかの実施形態では、金属イオン及び酸の標的レベルからわずかな規定量を超えて逸脱しない濃度で金属イオン及び酸を含有する電解質に基板を最初に浸漬し、電気的化学的金属除去過程の間、金属イオン及び酸の濃度は、これらが規定範囲(例えば、標的量の10%以内又は5%以内)の外側にないように制御する。他の実施形態では、1つ又は複数の成分が標的濃度から10%を超えて逸脱している電解質に基板を最初に浸漬するが、電気的化学的金属除去過程の間、この1つ又は複数の成分の濃度を所望の範囲(成分のそれぞれの標的レベルの10%以内)にし、基板上での電気的化学的金属除去の間の全体を通じて維持される。
次に、最初の基板を処理した後、工程は、1709において、複数の基板を逐次的に処理する一方で、金属イオン及び/又は酸の濃度を標的レベルの約10%以内に維持することが続く。例えば、少なくとも2、少なくとも5、少なくとも10、又は少なくとも50の基板を逐次的に処理してもよく、金属が金属表面から電気的化学的に除去され、金属層の均一性を改善する一方で、金属イオン(例えば銅イオン)の濃度を金属イオン標的濃度の10%以内に維持し、酸の濃度を酸標的濃度の10%以内に維持するようにする。いくつかの基板の処理過程にわたり恒常性を維持するより特定の範囲は、単一基板に関して上記で説明したものと同じとすることができる。
金属イオンの濃度及び/又は酸の濃度の恒常性を維持することに加えて、方法は、単一基板からの電気的化学的金属除去過程の間、又は複数の基板に対する逐次的な処理の間、電解質の温度を制御することを更に伴っていてもよく、温度が標的濃度から約1℃以下、好ましくは、標的温度から約0.5℃以下で逸脱するようにする。いくつかの実施形態では、電解質の粘度も、粘度がわずかな規定値を超えて標的粘度から逸脱しないように制御される。粘度は、酸及び銅の濃度の制御並びに/又は温度の制御を通じて間接的に制御してもよい。
代替実施形態では、粘度は、電解質成分の濃度を詳細に測定せずに、電解質成分の濃度を一定レベルで意図的に保持せずに、実質的に一定のレベルで維持される。本実施形態では、電解質の粘度は、例えば、Anton Paar L−Vis 510又はEmerson FVM粘度計を使用して直接的に測定してよく、電解質の粘度が標的粘度から逸脱した場合、所定値を超えて調節してよい。いくつかの実施形態では、粘度計は、電解質の温度を測定するように構成した温度計と組み合わせて使用される。粘度は、粘度計センサの低すぎる示度に応じて、例えば、電解質から水が気化する、電解質の温度が低下する、より粘度の高い流体(例えば、粘度がより高い酸含有及び/若しくは金属イオン含有溶液)が電解質に添加される、又はこれらの方法の組合せによって増大することがある。粘度は、粘度計センサの高すぎる示度に応じて、例えば、あまり粘度の高くない流体が電解質に添加されることによって(例えば、水が添加されることによって)、電解質の温度が増大することによって、又はこれらの方法の組合せによって増大することがある。これらの変化に応じた粘度変化は、実験による所定の相関関係を使用して正確に予測することができる。いくつかの実施形態では、電解質の粘度は、標的値から所定量を超えて逸脱しないように維持される。
電解質成分の恒常性を維持する一方で1つ又は複数の基板を処理することは、いくつかの重要な利点を有する。いくつかの基板を逐次的に処理する場合、所望の濃度の維持は、化学的金属除去に対する高いウエハ間再現性をもたらし、複数の同様のウエハに対する同様の均一性の改善及び予測可能で一定の除去率及び処理時間を得る重要な要因である。更に、単一ウエハから電気的化学的に金属を除去する間、金属イオン及び酸の濃度を、説明した所望の狭い範囲内に維持することが好ましい。というのは、安定した濃度により、臨界電位のより正確な特定、及び電気的化学的形態の選択を可能にし、より予測可能な結果をもたらすためである。各ウエハを実質的に同一の条件セット下で処理することに加えて、セルの性能問題又は差異を監視することが単純化される。というのは、変動する電解質組成(例えば電解質の導電率若しくは密度)に対する寄与がわずかであり、したがって、反応器の電圧又は電力、熱の発生及び他のパラメータが、絶えず変化する電解質の条件と共に入り込まない。
図18は、電解質中の金属イオン及び酸の恒常性を維持する例示的工程を提供する。工程は、1801において、金属を電気的化学的に除去し、1803において、電気的化学的に金属を除去する間、金属イオン及び酸の濃度を測定することを伴う。本明細書で使用する「濃度の測定」は、金属イオン及び酸の濃度と相関する電解質の特性を測定を伴うことができ、電解質の特性は、酸の濃度及び金属イオンの濃度の個別の決定を可能にする。好ましい実施形態の1つでは、2つの電解質の特性を測定し、第1の特性は、金属イオンの濃度よりも酸の濃度と強力に相関し、第2の特性は、酸の濃度よりも金属イオンの濃度と強力に相関する。第1の特性の一例は、酸の濃度に対する強力な依存性を示す電解質の導電率である。第2の特性の例は、電解質の密度、及び(Cu2+、Ni2+、Co2+等、光学的に活性な金属イオンに関する)電解質の吸光度を含む。一実装形態では、酸及び金属イオンの濃度は、電解質の導電率及び密度に関する示度の組合せから得られる。別の実装形態では、酸及び金属イオンの濃度は、電解質の導電率及び吸光度に関する示度の組合せから得られる。別の実施形態では、酸に対する滴定又は酸及び金属の両方に対する滴定を使用することができる。実施形態は、概して、化学浴組成を得る特定の方法に制限される。一連の組合せの2つ以上の生理化学的特性の測定値を使用することができ、想定され、例には、限定はしないが、電解質中の密度、伝導率、粘度、(1つ又は複数の波長における)吸光度、ラマン分光法、化学滴定、ボルタンメトリー(例えば、金属濃度に相関させるため、限定的な金属堆積電流を使用する線形掃引ボルタンメトリー)、屈折率又は音速を含む。更に、電解質の温度は、典型的には、温度センサを使用して監視する。というのは、電解質のパラメータと酸及び金属イオンとの相関関係は、典型的には、温度に依存するためである。金属イオン及び酸の濃度は、濃度と測定したパラメータとを関連付ける、経験式を使用して決定することができる。そのような、導電率、電解質の密度及び電解質の温度に対する銅イオンの濃度及びリン酸の濃度のそれぞれの依存性のための経験式の例を以下の例1に提供する。
いくつかの実施形態では、金属イオン及び酸の濃度は、電気的化学的金属除去工程全体を通じて、連続的に測定する。例えば、電解質の密度、導電率及び温度は、連続的に測定し、システムの制御器に伝達することができ、これらのパラメータは、電解質の管理に関する判断を行うために処理される。他の実施形態では、濃度は、所定間隔で(例えば、300秒ごとに)測定され、処理のために制御器に送信される。金属イオンの濃度及び/若しくは酸の濃度が標的レベルを上回る場合、又は金属イオンの濃度及び/若しくは酸の濃度が所定の許容誤差若しくは閾値を超える場合、希釈剤を電解質に添加する、及び/又は金属イオンの濃度を電解採取によって低減する。希釈剤は、金属イオン及び/又は酸の濃度が所定の閾値濃度を下回り、標的濃度に近くなるような量で添加する。金属イオンの濃度及び/又は酸の濃度が所定の閾値を下回って低減する場合、濃縮物を電解質に添加する。濃縮物は、金属イオン及び/又は酸の濃度が所定の閾値濃度を上回り、標的濃度に近くなるような量で添加する。例えば、金属含有量が低い場合、セル/浴槽中の標的金属含有量よりも大きな金属含有量を有する特定の量の金属含有溶液を添加する。類似的に、酸のレベルが低い場合、濃縮した酸を添加する。所定の閾値濃度は、標的濃度レベルから許容される変動範囲内である。例えば、金属イオンの濃度が標的レベルから5%変動することが可能である場合、希釈又は電解採取のきっかけとなる所定の閾値濃度は、標的濃度を3%超えてよく、濃縮物の添加のきっかけとなる所定の閾値濃度は、標的濃度よりも3%低くてよい。
金属イオンの濃度を低減させる希釈剤は、水、酸の水溶液、又は金属イオンのための所定の閾値濃度よりも低い濃度で金属イオンを含む水溶液とすることができる。実施形態の1つでは、希釈剤は、金属イオンを含有しない酸の水溶液である。酸の濃度を低減させる希釈剤は、水、又は酸の水溶液、又は金属含有溶液とすることができ、それぞれ、希釈剤中に、酸の所定の閾値濃度よりも低い酸の濃度を有する。いくつかの実施形態では、金属のための閾値濃度を超えた場合、及び酸のための閾値濃度を超えた場合の両方で、単一の希釈剤供給源からの単一の希釈剤を電解質に添加する。一実装形態では、この希釈剤は、非常にわずかな(<1g/金属)を含有する酸水溶液であるか、又は金属イオンを一切含有しない。電解質中の金属イオンの濃度は、個別の電解採取装置内で電解質から所定量の金属を採取するによって低減することができる。電解採取装置は、典型的には、電解質から金属イオンを還元し、金属として堆積させる陰極、及び不活性寸法安定性酸素発生電極を含む。電解採取は、電解質中の金属イオンの濃度が所定の閾値を下回らせることができる。電解採取量は、(電量分析を使用する)電解採取デバイスを通過する電荷の制御によって、制御することができる。いくつかの実装形態では、金属イオンの濃度は、希釈剤を電解質に添加すること、及び電解質から金属イオンの一部分を採取することの両方によって低減し、組合せにおいて、これらの方法は、金属イオンの濃度を所望の範囲にする。
金属イオンの濃度を増大させる濃縮物は、金属イオンのための所定の閾値濃度よりも高い濃度で金属イオンを含む水溶液、又は酸のための所定の閾値濃度よりも高い、低い若しくは等しい濃度で酸も含有する同様の溶液とすることができる。酸の濃度を増大させる濃縮物は、濃縮させた酸、又は酸のための所定の閾値濃度よりも高い濃度の酸の水溶液、又は酸のための所定の閾値濃度よりも高い、低い若しくは等しい濃度で金属イオンも含有する同様の溶液とすることができる。いくつかの実施形態では、セルの対向電極は、水素発生対向電極であり、ウエハから溶解する金属の量は、水素発生対向電極上に堆積する金属の量を超える。この場合、金属含有量が標的よりも低い場合、更なるウエハ処理は、電解質の金属含有量を増大させる傾向があり、添加は不要である。更に、作業1807において、電解質の容積を監視し、電解質の容積が所定の閾値容積値を超えた場合、電解質の一部分をシステムから取り除き、容積を閾値を下回らせる。電解質の容積は、一実施形態では、電解質レベル計量器によって連続的に監視される。
いくつかの実施形態では、第1の希釈剤(例えば金属イオン不含酸溶液)は、脱めっきセルと流体連通する電解質槽に添加されることに留意されたい。槽内で希釈した後、槽の電解質は、脱めっきセル内の電解質と比較してより希釈され、槽の電解質は、電解質槽から脱めっきセルに添加される第2の希釈剤として作用する。図19は、例えば、Cu2+イオン及び酸を含有する電解質中の電解質組成の制御で使用し得る例示的なシステムを示す。システムは、複数のセンサ1901、1903、1905及び1907を含み、複数のセンサ1901、1903、1905及び1907は、電解質についての情報を制御器1909に提供するように構成される。具体的には、システムは、電解質の密度に関するデータを制御器に提供する濃度計1901、電解質の導電率を測定し、この情報を制御器に提供する導電率計量器1903、電解質の温度を制御器に提供する温度計1905、及び電解質の容積を監視し、このデータを制御器に供給する電解質レベル計量器1907を含む。制御器1909は、センサが提供した情報を処理するように構成され、受信した情報に応じて、電解質の希釈若しくは濃縮、電解質の除去及び任意選択で銅の電解採取に関連する1つ又は複数のハードウェアを起動するように構成される。他の場合には(図示せず)、制御器1909は、(例えば、気化又は逆浸透を通じた)排気調節器の開放若しくは閉鎖、又は逆浸透装置を通じて処理流体を流す等、セル及び/又はセル槽からの水除去率を修正し得るハードウェアを動作させることができる。例えば、濃度計、導電率計量器及び温度計から受信したデータの組合せに応じて、制御器は、希釈ハードウェア1911を起動することができ、希釈剤ハードウェア1911は、電解質に希釈剤を添加するように構成した弁の開放及びポンプの起動を含んでいてもよい。任意選択で、これらのセンサからのデータの組合せに応じて、制御器は、電解採取システム1913を起動することができ、電解採取システム1913は、Cu2+イオンを銅金属に変換し、これにより、電解質中のCu2+濃度を低減するように構成される。電解質レベル計量器からの信号に応じて、制御器は、電解質除去に関連するハードウェア1915を起動してもよい。ハードウェア1915は、電解質を収容する容器内の出口に関連する弁を開放すること、及び電解質の一部分を容器から流出可能にすることを含んでいてもよい。
センサ(例えば導電率計量器、濃度計及び温度測定子)は、装置のあらゆる場所に配置してもよく、測定したパラメータは、ウエハ基板付近のパラメータと実質的に同じである。いくつかの実施形態では、センサは、脱めっきセル内に直接配置される。他の実施形態では、装置は、1つ又は複数の電解質再循環ループを含み、センサの少なくともいくつかは、脱めっきセルの外側で、再循環ループ内に配置され、再循環ループ内で測定したパラメータは、脱めっきセル内のパラメータと実質的に同じである(例えば、1%を超えて逸脱しない)。一実施形態では、再循環ループは、脱めっきセル自体、脱めっきセルの外側に配置した電解質槽、及び電解質が脱めっきセルから槽に循環し、槽から脱めっきセルに戻るのを可能にする流体ラインを含む。再循環ループは、電解質をフィルタ処理する1つ又は複数のフィルタ、電解質を再循環ループ内で移動させる1つ又は複数のポンプ、流量計、セル隔離弁(槽から脱めっきセルへの流れを停止するように構成した弁)、及び(例えば、Liqui−Cell Superphobic膜接触器等のガス−液体「接触器」等を使用して溶解酸素を除去する)溶解ガス添加若しくは除去装置を含んでよい。好ましくは、再循環ループ内での電解質は、電解質が、ループの異なる部分(例えば、脱めっきセル内、槽内、及び流体ライン内)で実質的に同じ濃度であるように迅速に混合される。本実施形態では、いくつかの実装形態では、脱めっきセルを除いた再循環ループ内、例えば、槽内、又は脱めっきセルに若しくは脱めっきセルからつながる流体ラインとの関連部内にセンサを置くことが好ましい。同様に、希釈剤の添加及び/又は銅の電解採取は、脱めっきセル内で直接実施してもよく、又はいくつかの実施形態では、希釈剤の添加及び/又は銅の電解採取は、脱めっきセルを除いた再循環ループ内で実施することができる。例えば、希釈剤は、槽中に位置する電解質に添加してもよく、この場合、希釈された電解質は、脱めっきセルに急速に向けられ、再循環ループ全体を通じて、電解質成分の迅速な混合を可能にする。
いくつかの実施形態では、電解質組成は、濃縮制御溶液としても使用される2つの電解質開始/構成溶液を使用して制御される。この手法は、一般的に有用であり、水素発生陰極を備える装置内、及び活性陰極を用いる装置内で実施することができる。水素発生陰極を使用する場合、及び陰極上での金属めっきがない又は最小である場合、電解質は、陽極側でバイアスをかけられる基板からの金属が電解質中に溶解するために、濃度の調節(例えば、希釈)を必要とする。しかし、活性陰極を使用し、金属及び酸の含有量がセル自体内の反応によって修正されない場合でさえ、電解質濃度の調節を用いることができる。活性陰極のケースでは、電解質組成物は、セル/浴槽システムに到来し、セル/浴槽システムから離れる材料のために、又は100%未満の陽極(基板金属除去)及び陰極(対向電極めっき)効率のために、依然として経時的に漂うことがある。
第1の溶液「M」は、高い金属(例えば銅)の濃度及び低い酸の濃度を有し、第2の溶液「A」は、高い酸の含有量及び低い金属(例えば銅)の含有量を有する。溶液「M」中の金属の濃度は、溶液「A」中の金属の濃度よりも高い。逆に、溶液「M」中の酸の濃度は、溶液「A」中の酸の濃度よりも高い。例えば、溶液「M」は、リン酸銅として約50から80g/LのCu+2、及び約150から400g/Lのリン酸を含有していてもよい。この範囲内でのより低い銅濃度は、より低い酸の濃度(例えば、50〜75g/Lの銅及び150〜200g/Lのリン酸)と共に使用される一方で、この範囲内のより高い銅の濃度は、高い酸の濃度と共に使用される(例えば、75〜80g/Lの銅及び200〜400g/Lのリン酸)。この例では、溶液「A」は、リン酸銅として約0から10g/L(例えば、5〜10g/L)のCu+2、及び約800から1350g/Lのリン酸を含有していてもよい。一般に、金属/酸の濃度は、可能な限り高くすべきである一方で、溶液が、例えば溶液の出荷中に遭遇する温度に露出されることが予期される最も低い温度の間、金属塩の析出を回避する。
図19Bは、一実施形態によるめっきモジュールの質量平衡1920を示す。ウエハがめっきセル・モジュール1921に入ると、ウエハの以前の履歴に応じて、モジュールに水、酸、金属イオン、又はウエハの以前の処理ステップからウエハの表面上に同伴された他の汚染物がもたらされることがある。ウエハは、マスク/フォトレジスト層からシステムに材料を浸出させることもある。ウエハから到来するこれらの材料をウエハ引き込み物1912と呼ぶ。水は、気化1903によって、実質的に一定の率でシステムから除去される。いくつかの実施形態では、水除去率を修正する機構をシステム内に構築してもよい。例えば、速度制御気体流又は機械的に制御された調節器を使用し、水除去率を修正してもよい。(酸及び金属塩を含有する電解質等の)材料は、制御した量でシステムから廃棄物排出出口に除去することができる。このシステムからの材料の流出を流れ1924として示す。純脱イオン化水1925、富金属溶液「M」1926及び富酸溶液「A」1927は、システムに制御した量で添加する(分注する)ことができる。方法は、必要に応じて、(例えば、電解質再循環ループに位置する槽から)金属又は酸又は不純物が高い可能性がある、制御した量の電解質材料を除去し、標的レベルで酸、金属及び水の濃度を維持し、不純物の濃度を満足できる程度に低いレベルで維持することを更に含む。(本明細書で説明する)センサ・セットを使用し、電解質成分の濃度を監視することができる。システム制御器は、特性/濃度相関関係並びに予測及びフィードバック論理と共に、濃度を維持するために使用される。システムの始動時、始動(新たな)電解質を、制御した量で溶液Mと溶液Aと水とを混合することによって作製し、必要な標的濃度の成分を有する溶液を生成する。
装置
本明細書に記載の電気的化学的金属除去方法は、電解質及び陰極を保持するように構成した容器;並びに半導体基板を有する装置内で実施することができ、半導体基板の作用表面は、電解質に浸漬し、電気的化学的金属除去の間、陰極から分離させる。装置は、電源、及び電解質で金属を除去する間、陰極に負電圧のバイアスをかけ、基板に正電圧のバイアスをかけるように構成した電気接続部を含む。いくつかの実施形態では、装置は、電気的化学的金属除去の間、基板の作用表面に実質的に平行な方向で、基板の作用表面に接触する横断流の電解質をもたらすように構成した機構を更に含む。いくつかの実施形態では、装置は、半導体基板の近傍(例えば、基板の約5mm以内)の電位又は等価の電位を測定するように構成した参照電極を含む。装置は、いくつかの実施形態では、好ましくは、陰極と基板保持器との間に配置した分離器を含み、これにより、陽極室及び陰極室を画定し、分離器は、陰極で生成されたH2気泡又は粒子が分離器を横断し、基板に到達しないように構成される。分離器は、電解質のイオン種に対して透過性であり、陽極室と陰極室との間のイオン連通を可能にする。装置は、好ましくは、陰極室内でH2又は粒子を安全に隔離し、分離器膜に近接する陰極室内の1つ又は複数の開口を通じてH2又は粒子を除去するように構成される。
横断流のための機構、参照電極、及びH2ガスを隔離し、除去するように構成した陰極室を含む電気的化学的金属除去装置の一部分の一例を図20に示す。この装置は、H2ガスに加えて(又はH2ガスではなく)、陰極で生成された粒子を隔離、除去するために使用することもできることに留意されたい。装置は、半導体基板3を保持、回転させるように構成した半導体基板保持器1を含む。複数の電気接点を基板の外周の周囲に作製する。接点は、電源(図示せず)に接続され、電源は、電気的化学的金属除去の間、(陽極側で)半導体基板に正電圧のバイアスをかける。陰極5は、基板3の下に配置され、電源(図示せず)に電気的に接続され、電源は、電気的化学的金属除去の間、陰極5に負電圧のバイアスをかける。除去される金属と同じ金属から作製した陰極(例えば、銅金属除去の間の銅陰極)、めっき可能な金属(例えば、ステンレス鋼)及び不活性陰極を含め、異なる種類の陰極を使用することができる。いくつかの実施形態では、不活性水素発生陰極を使用する。というのは、活性陰極は、一部の電解質と反応するか若しくは一部の電解質中に溶解するか、又は非粘着性若しくは樹枝状粒子生成金属層をめっきすることがあり、電解質に対する金属イオンの濃度の不可避の増大若しくは金属含有沈殿物の生成をもたらすためである。他の実施形態では、活性陰極は、好ましくは、電解質と化学的に反応しない。というのは、基板から除去される金属は、活性陰極上にめっきされ、セルの全体的な化学反応が平衡し、これにより、工程費用は、金属枯渇に基づく溶液の取り替えに対する要求がほとんどないために低減するためである。不活性陰極の例は、白金、ロジウム、ニオブ又はこれらの金属の任意の組合せで被覆した金属陰極(例えば、チタン陰極)を含む。
円錐形状の膜7は、陰極5と陽極側基板3との間に配置され、脱めっきセル9を陰極室11及び陽極室13に分割する。膜7は、円錐体の頂点が円錐体の基部よりも陰極に近いように枠12上に組付けられる。膜の材料は、陰極5で生成されたH2気泡が、陰極室11から陽極室13に横断可能にしないものである。膜は、イオン透過性ポリマー等のイオン透過性材料から作製される。いくつかの実施形態では、−SO2−官能基含有ポリマー等、親水性ポリマーが好ましい。いくつかの実装形態では、膜の材料は、ポリエーテルスルホン(PES)、ポリフェニルスルホン及びポリスルホン族由来の他のポリマーを含む。親水性膜の気泡を分離する材料は、気泡が疎水性膜ほどこれらの材料に付着しないため、好ましい。膜の円錐形状により、陰極で放出されたH2気泡が、膜表面に沿って上方に進行し、径方向外側に進行し、陰極室の周辺に向かい、膜と陰極室の側壁との間の境界面で蓄積することを可能にする。出口15は、膜と側壁との間の接合部の極近傍に、陰極室の側壁内に配置され、陰極液との混合液中に蓄積したH2気泡を除去するように構成される。例えば、出口は、約1mm以内に配置され、いくつかの実施形態では、膜と陰極室の側壁との組立体の接合部からの間隙を一切伴わない。出口と接合部との間に(垂直方向の)間隙が存在することは望ましくない。というのは、気泡が間隙内に蓄積する傾向があり、セルから除去するのがより困難になるためである。出口は、いくつかの実施形態では、陰極室の周囲に45°の間隔で等しく離間した8個の開口等、実質的に同一の小さな間隔で陰極室の側壁外周の周囲に配置した複数の開口を含む。いくつかの実施形態では、この出口は、壁内に連続する細穴である。一実施形態では、セルの外周の周囲に連続する細穴は、電解質の出口として働く複数の等しく離間した穴をもたらす。概して、セルが、陰極液から気泡の大部分又は実質的に全ての気泡を除去するように設計される限り、陰極液出口は、様々な形状及び形態を取っていてもよい。例えば、セルが、360°未満又は180°未満によって範囲を定めた単一の出口の方に気泡を向けるように設計されている場合、この出口を使用してもよい。膜と陰極液の出口の相互の位置は、陰極室からの効率的で安全な水素気泡の隔離及び除去を達成するのに役立つ。陰極室は、陰極液を受け入れるように構成した入口17を更に含む。図示の実施形態では、陰極液の入口は、陰極の下に位置する。一般的に、陰極液の入口を陰極液の出口の下に配置し、陰極室に入る陰極液が、陰極の上及び周囲に(穿孔された又は多孔性の陰極を通じて)流れるようにすることが好ましい。というのは、このことは、上方向への陰極液の移動及び気泡の移動を促進し、陰極室内の陰極液と陰極付近の電解質との間の組成の大きな差を防ぐためである。陽極室13は、膜7の上に位置し、陽極側でバイアスをかけた基板3を収容する。図示の実施形態では、イオン抵抗性イオン透過性要素19(「要素」)は、膜7と基板保持器1との間の陽極室内に配置される。イオン抵抗性イオン透過性要素は、好ましくは、基板と実質的に同一の広がりをもち、電気的化学的金属除去の間、基板の作用表面に極めて近接して位置する。要素は、基板に面する表面及び反対側の表面を有し、電気的化学的金属除去の間、基板に面する表面と基板の作用表面との間の最も近い距離が約10mm以下であるように位置する。図示の実施形態では、要素の基板に面する表面は、平面であるが、他の実施形態では、要素は、例えば、周辺よりも中心で、基板に対しわずかな距離を有する凸面であってよい。要素は、孔を有する誘電材料から作製され、要素の多孔度は、好ましくは比較的低く、このため、要素は、システム内のイオン電流経路上にかなりの抵抗を導入する。いくつかの実施形態では、要素は、電解質が要素を通じて進行することを可能にする複数の非連通通路を含む。いくつかの実施形態では、要素は、約6,000〜12,000の間の穿孔通路を含む。要素は、電気的化学的金属除去の間、末端効果のために、出現することがある径方向の非均一性を低減するのに有用である。基板への電気接点は、典型的には、基板周囲に作製されるが、この場合、末端効果が、基板縁部付近の金属の電気的化学的除去の増大として現れることがある。この構成において、接点の作製のために特に薄い及び/又は抵抗性のシード層を使用する場合、より多くの金属が、基板のより中心の部分と比較して、基板周囲で除去されることがあり、径方向の非均一性へとつながる。イオン抵抗性イオン透過性要素は、フィールド分布をより均一にする高イオン抵抗性の板として働き、説明した末端効果を低減し、これにより、金属除去における径方向の均一性を改善することができる。いくつかの実施形態では、要素は、更に、基板の近傍で電解質の流れを成形する役割を果たす。要素は、強い電解質流れ領域を画定し、流れを交差流領域に閉じ込める流れ抵抗要素として働いてよい。例えば、要素は、要素の基板に面する表面と基板の作用表面との間に、狭い間隙(例えば10mm以下)をもたらすように働いてよく、この狭い間隙内に、電解質が側方に噴射される。この構成は、基板表面付近の電解質の横断流を促進する。電解質(陽極液)は、交差流噴射マニホルド21を使用して間隙内に噴射することができ、交差流噴射マニホルド21は、要素19の空洞によって少なくとも部分的に画定される。交差流噴射マニホルドは、円弧形状で、基板の外周に近接して配置される。交差流閉じ込めリング23は、少なくとも部分的に要素19と基板保持器との間で、基板の外周に近接して配置される。交差流閉じ込めリング23は、要素と基板との間の間隙の側部を少なくとも部分的に画定する。陽極室は、陽極液供給源から、例えば、交差流噴射マニホルドを通じて陽極液を受け入れるのに適合する間隙への入口25、及び間隙から陽極液を除去するのに適合する間隙への出口27を有する。入口25及び出口27は、基板の作用表面の方位角的に対向する外周位置に近接して(並びに基板保持器の方位角的に対向する外周位置、及び要素の方位角的に対向する外周位置にも近接して)配置される。入口25及び出口27は、電気的化学的金属除去の間、間隙内で電解質の交差流を生成し、基板の作用表面付近で電解質の横断流を生成若しくは維持するのに適合する。いくつかの実施形態では、イオン抵抗性イオン透過性要素は、末端効果の軽減及び電解質の流れの制限という2つの目的を果たし、基板付近に、電解質の横断流のために画定された空間をもたらす。参照電極29は、基板保持器1の外周付近で要素19の上に配置される。参照電極は、好ましくは、基板表面から約5cm以内に配置されるか、又は基板の5cm以内で測定した電位と同等の電位を測定し得る位置で配置される。より好ましくは、参照電極は、基板の約5mm以内に配置されるか、又はウエハ表面の平面における電位と同等の電位若しくは最小の差の電位を伴う位置に配置される。例えば、参照電極は、陽極室から出る電解質に浸漬してもよい。図示の実施形態では、参照電極は、ウエハ基板から除去される金属と同じ金属条片又は棒から作製される。例えば、銅参照電極は、銅除去の間に使用することができ、ニッケル参照電極は、ニッケル除去の間に使用することができ、スズ参照電極は、スズ除去の間に使用することができ、以下同様であるのに対し、そのような電極の表面の一部は、処理電解質と直接接触する。参照電極に、基板から除去される金属と同じ金属を使用することが有利である。というのは、参照電極は、ゼロ電流動作点に対してゼロ(又はほぼゼロ)の開回路電位を有し、また、一般に使用される参照電極よりも長く、より大きな安定性で動作し得るためである。場合によっては、金属電極は、あまり好ましくない参照電極を選択し、選択した電気的エッチング電解質に露出する際、膜(酸化膜又は塩膜)を形成してもよい。より一般的には、限定はしないが、飽和塩化第一水銀電極(Hg/Hg2Cl2又はSCE)、Hg/HgSO4電極、及びAg/AgCl電極等、電解質処理溶液とは異なる電解質を含有する参照電極を含む、多種多様な種類の参照電極を使用することができる。ルギン管を使用する実施形態では、参照電極の物理的位置は、基板に近くても、基板から遠くてもよいことに留意されたい。上記で参照したウエハから5mmの距離は、参照電極の最も接近する点であるか、又は参照電極と、ルギン接続を構成するウエハとの間に閉じ込められ、孤立した電流の線である。参照電極は、ルギン管の開放先端部で溶液の電位を感知する。したがって、参照電極は、セルとは個別に、セルから離して収容し、いわゆる「ルギン管」を介して接続することができ、ルギン管の開口は、ウエハから5mm以下である。ルギン管は、ルギン・プローブ、ルギン細管又はルギン・ハーバー管としても公知である。図示の実装形態では、参照電極は、基板保持器1から径方向外側に陽極液中に配置される。そのような外周位置は、多くの実装形態で好ましい。というのは、参照電極は、好ましくは、基板の作用表面付近のめっき電流に干渉すべきではないためである。いくつかの実施形態では、電気的化学的金属除去の間、基板の作用表面上での参照電極の占有面積(基板表面上の電極の突出)は、ゼロである。
参照電極、及び装置の他の要素は、制御器31と電気的につながっており、制御器31は、プロセッサ及びメモリを有し、装置の動作を制御するプログラム命令を有する。例えば、電気結線30は、参照電極29と制御器31とを接続することができる。制御器は、本明細書で説明する方法のいずれかを実施するプログラム命令を含んでよい。制御器は、参照電極が提供する電位についての情報を処理することができ、測定した電位に応じて、陽極側で基板にバイアスをかけるために供給される電流及び/又は電位を調節し、電気的化学的金属工程を制御することができる。例示的な一実施形態では、参照電極は、基板から除去される金属と同じ金属から作製され、陽極液に浸漬され、基板の近傍でイオン抵抗性イオン透過性要素の上に配置される(必ずしも、イオン抵抗性イオン透過性要素の上にわたって配置されるわけではない)。そのような位置は、基板と参照電極との間の電圧降下を最小化し、電位の読み取り精度を改善する。
図21は、基板の作用表面付近で横断流を生成、維持するのに使用し得るシステムの上面図を示す。イオン抵抗性イオン透過性要素19は、その周辺部の周りを、交差流閉じ込めリング23によって部分的に囲まれ、交差流閉じ込めリング23は、要素と基板の作用表面との間の間隙の側壁を形成するように設計されている。円弧形状の交差流噴射マニホルド21は、入口を使用して間隙25に電解質を噴射する。電解質の流れは、矢印によって示す。電解質は、基板の周辺部(又は基板保持器の周辺部、又はイオン抵抗性イオン透過性要素の周辺部)に対して、実質的に方位角によって対向する位置に位置する出口27に向かって横方向に流れる。
装置は、好ましくは、基板の中心にわたり、少なくとも約3cm/秒の速度を有する横断流をもたらすように構成される。いくつかの実施形態では、基板の中心点にわたり、約10〜90cm/秒の間、又は約20〜80cm/秒の間等、少なくとも約10cm/秒の激しい横断流量をもたらすことが好ましい。そのような比較的高い横断流量は、例えば、基板に近接する間隙内への電解質の側方噴射を使用して、又は往復水掻き運動の使用によって達成することができる。
異なる実装形態では、横断流は、以下の機構の1つ又は複数を使用して生成してよい。(1)側方電解質流噴射器;(2)横断流を分流するように構成した流れ分流器;(3)回転する基板の中心において又はその近くで、均一性から、穴の数、向き及び分布にばらつきを有するイオン抵抗性イオン透過性要素、例えば、回転する加工物の中心に近接する穴の少なくとも一部が、垂直からずれている角度(より一般的には、回転する基板のめっき面に直交しない角度)を有する要素等、(4)加工物表面とイオン抵抗性イオン透過性要素との間の相対的な運動に対して側方成分(例えば、相対的に線形運動若しくは軌道を回る運動)を生成する機構、(5)板(例えば、水掻車若しくは羽根車)が移動するにつれて、少なくとも部分的に流体を強制的にウエハに横断移動させるいくつかの水掻き若しくは扇形羽根を有する、1つ若しくは複数の往復若しくは回転水掻き又は板、並びに(6)流れ成型板に取り付けられるか若しくは近接し、加工物の回転軸から外れている回転組立体。装置は、いくつかの実施形態では、モジュール/処理ステーションの一部であるウエハ保持器を含み、ウエハ保持器は、モジュール及び/又は処理ステーション内に留まるが、処理ステーション又はモジュール内で回転し、上下に動くことができる、例えば、ウエハ保持器は、クラムシェル設計を有することができる。別の実施形態では、ウエハ保持器は、処理ステーションから取り外し、ウエハの封止、解放を生成するツールにより、ウエハ保持器が保持するウエハと共に、運搬器から、金属除去処理ステーション以外の他の場所へ進行させることができる。
図22は、脱めっきセルの一部分の断面概略図を提供し、陰極液の流れにより、陰極室13からH2気泡を除去することを示す。H2気泡33及び/又は粒子は、陰極5で生成され、矢印で示すように膜7に向かって上昇する。膜では、気泡は、気泡が陰極室出口15に到達するまで、膜/枠組立体の円錐壁に沿って移動する。気泡は、導管35を通じて陰極液の一部分と一緒に除去され、導管35は、いくつかの実施形態では、陰極液と気泡との混合物を鎮静化室に搬送し、鎮静化室では、H2ガスは、陰極液から分離され、不活性ガスで希釈され、安全に除去される。図22Aは、参照電極29の位置、及び電気結線30も示し、電気結線30は、本実施形態では、参照電極から下に行き、参照電極を制御器に接続するように構成される。
気泡分離膜の代替的な位置を示す一実施形態を図22Bに示し、図22Bは、セル2201の簡略化した断面図を示す。セルは、水平方向に配置される陽極基板2205を収容する陽極室2203、及び陰極2209を収容する陰極室2207を含む。2つの室を分離する膜2211は、本実施形態では、水平面に対してある角度で配置される。例えば、膜は、セルの側壁に取り付けた材料の平面シートであってもよく、図示のように、膜が傾斜した位置にあるようにする。いくつかの実施形態では、水平面に傾斜する膜平面の角度は、約10〜60°の間等、約5〜85°の間である。平面膜を傾斜して配置することにより、陰極室から気泡を搬送し、除去するのを促進する。陰極室出口2213は、図示の実施形態では、膜の上側部分とセルの側壁との接合部の近くに位置し、陰極液及び気泡が陰極から上方に進行した後、陰極液と共に気泡の除去を可能にする。
更に別の代替実施形態では、基板は、垂直向きで処理してよく、基板の作用表面が、水平面に対して90度で向けられるようにする。本実施形態では、陽極室及び陰極室を分離する膜は、垂直に(基板表面に平行に)配置することができ、陰極室出口は、気泡の除去、及び/又は粒子が加工物に到達するのを防止することを可能にする限り、陰極室の上側部分のどの場所にあってもよい。
図23は、図22Aに示す実施形態の脱めっきセルの一部分の断面概略図を提供し、陰極室に陰極液を添加することを示す。陰極液は、陰極室入口17を通じて陰極室13に入る。陰極液は、入口17に結合された導管を介して供給され、いくつかの実施形態では、陰極液は、陰極液再循環ループから、例えば、陰極液再循環ループ内に位置する槽から供給される。陰極液の流れは、矢印によって示す。陰極液は、外周に、膜とセルの側壁との接合部に位置する陰極液出口に流れる。概して、陰極液入口及び出口の位置は、変更することができるが、好ましくは、陰極自体にわたり又は陰極自体を通じて均一な対流をもたらすように配置する。上述のように、1つ又は複数の出口は、好ましくは、膜と壁との接合部に可能な限り近く、セルの外周に位置する。
図24は、電気的化学的金属除去装置の概略図を提供し、電気的化学的金属除去装置は、脱めっきセル、鎮静化室、陽極液再循環ループ及び陰極液再循環ループを含み、ループは、共有槽を有する。活性陰極を使用し、陰極上での金属めっき効率が高い(例えば、電流の>95%が、めっきされる金属となる)ケースでは、水素ガスを隔離、希釈するために使用する鎮静化室は、必要ではないことがある。図24は、装置の要素の間の流体接続を示す。例示的な実装形態では、図示の装置は、リン酸水溶液(H3PO4)及びリン酸の1つ若しくは複数の銅塩(例えば、Cu3(PO42)を含むか又は本質的にこれらからなる電解質を使用して、基板から銅を電気的化学的に除去するために使用される。例えば、電解質は、Cu2+、Cu+、H+、H2PO4 -、HPO4 2-、及びPO4 3-のあらゆる組合せを含んでいてもよい。提供する装置は、本明細書で説明する他の電解質を使用して、他の金属(例えば、Ni、Co、Sn等)を電気的化学的に除去するために使用し得ることを理解されたい。装置は、脱めっきセル9を含み、脱めっきセル9は、膜7によって、陽極室11及び陰極室13に分割される。陽極室11は、陽極側でバイアスをかける基板3を有し、基板3は、電気的化学的金属除去の間、基板上の銅層が反応(3)及び(4)に従って電気的化学的に溶解するにつれて、Cu+及びCu2+イオンを陽極液に放出する。Cu2+は、典型的には、電解質中で支配的な銅イオンである。というのは、Cu+は、典型的には、微量でしか存在しないためである。陰極室13は、反応(5)、(6)及び(7)が行われる陰極を収容する。陰極での水素ガスの発生が、陽極と陰極との間に膜7を置く主な動因である。というのは、水素を陰極室内に隔離することが有利であるためである。図示の例では、陽極液及び陰極液の組成は、実質的に同一であるが、陰極室内の陰極液は、H2気泡を含む一方で、陽極室内の陽極液は、実質的にH2気泡を含有せず、H2気泡は、膜7によって陰極室に入らないようにされる。図示のシステムは、陰極室から陰極液の一部を除去し、除去した陰極液を処理し、処理した陰極液を陰極室に戻すのに適合する陰極液再循環ループを含む。陰極液の処理は、水素気泡を陰極液から除去すること、陰極液と陽極液とを混合すること、(例えば、陽極液と混合した後)陰極液を希釈すること、(例えば、陽極液と混合した後)陰極液から銅を採取すること、陰極液をフィルタ処理すること、電解質から(接触器を介して)脱ガスすること、及び陰極液を加熱若しくは冷却することのうち1つ又は複数を含むことができる。陰極液再循環ループは、図示の実施形態では、鎮静化室37、槽39及び関連する流体ポート、流体ライン及び流体管理機構(例えば、1つ又は複数のポンプ、電解質流量計及びフィルタ)を含む。リン酸、リン酸銅及び水素気泡を含有する陰極液は、陰極室出口15を通って出て、関連する流体ライン35を通って鎮静化室37に進行する。鎮静化室37は、水素気泡を液体の陰極液から分離し、水素を不活性ガスで希釈し、希釈した水素を鎮静室から除去するように構成される。陰極液は、鎮静室陰極液入口40を通じて鎮静室37に入り、一実装形態では、鎮静室を通り、陰極混合液から浮力によって水素ガスの分離を促進する蛇行経路を介して進行する。鎮静室は、不活性ガス入口41を更に有し、不活性ガス入口41は、不活性ガスライン45を介して不活性ガス供給源43(例えば、N2供給源)に接続されている。不活性ガスは、入口41通って鎮静室に流れ、水素との混合を可能にする。次に、希釈された水素混合液は、鎮静室のガス出口47に流れ、次に、希釈されたガス流の水素濃度の測定を実施することができ、次に、混合液は、排出部49から除去される。陰極液は、鎮静室37内で少なくとも部分的に水素を取り除いた後、陰極液出口51を介して鎮静室から出て、流体ライン53を介して槽39に流れる。いくつかの実施形態では、鎮静室37及び槽39は、1つの小型組立体内で一緒に組み合わされ、これらの実施形態では、陰極液出口51及び槽の陰極液入口55が一致しているため、流体ライン53は取り除かれる。
鎮静室37からの陰極液は、槽の陰極液入口55を通じて槽39に入る。図24に示す実施形態では、槽39は、陰極液再循環ループ及び陽極液再循環ループによって共有され、陰極液は、槽内で、陽極液再循環ループからの陽極液と混合される。必要な場合、混合液は、この段階で、希釈剤(例えば、リン酸水溶液)で希釈することができる。希釈剤は、希釈剤供給源57から希釈剤ライン59を介して、槽の希釈剤入口61を通り、槽39に添加される。いくつかの実施形態では、希釈剤は、槽の電解質の濃度よりも小さい濃度で銅塩を含有してよい。槽は、電解質除去ポート63を更に含み、電解質除去ポート63を通じて、槽内の電解質の容積が所定の閾値を超えた場合、電解質の一部を排出口65に除去してよい。陰極液再循環ループは、槽39から電解質出口ポート67及びライン69を介して、ポンプ71を使用して(陽極液と混合させた)陰極液の一部を除去し、陰極進入ライン73を使用して(陽極液と混合させた)陰極液の一部を陰極室13に向けることによって完了する。再循環され、処理された陰極液は、陰極液入口17を介して陰極室13に入り、これにより、再循環ループが完了する。
陽極液再循環ループは、陽極室11から陽極液の一部を除去し、陽極液を処理し、処理した陽極液を陽極室11に戻すように構成される。陽極液の処理は、陽極液と陰極液とを混合すること、(例えば、陰極液と混合した後)希釈剤を陽極液に添加すること、(例えば、陰極液と混合した後)陽極液から銅を採取すること、陽極液をフィルタ処理すること、及び陽極液を加熱することのうち1つ又は複数を含むことができる。更に、陽極液再循環ループは、脱めっきセルの外側で、密度、導電率及び温度等、1つ又は複数の陽極液特性を測定するように構成してよい。図示の例では、陽極液再循環ループは、陰極液再循環ループと共有される槽39、陽極液を陽極室11から槽39に送出する流体ライン74、陽極液を槽39から陽極室11に送出する流体ライン69及び75、槽39内に配置した導電率計量器77、並びに流体ライン81内に配置した濃度計79を含み、流体ライン81は、ライン75が陽極室入口25に至る前まで、ライン69からループを形成する。再循環の間、陽極液は、陽極液出口27を通って陽極室11から出て、導管74を介して槽39に流れ、槽39では、陽極液は、陰極液再循環ループからの陰極液と混合される。(陰極液と混合した)陽極液は、上記のように希釈することができ、必要な場合、(陰極液と混合した)陽極液の一部を槽39から排出口に除去することができる。(陰極液と混合した)陽極液の導電率は、導電率計量器77を使用して槽内で測定される。次に、(陰極液と混合した)陽極液は、ポンプ71を使用して陽極室11に向けて戻す。(陰極液と混合した)陽極液は、電解質出口ポート67を通って出て、(陰極液再循環ループと組み合わせた)ライン69に流れる。接合部83において、流体ライン69は、(陽極室11につながる)流体ライン75及び(陰極室13につながる)流体ライン73に分岐する。(陰極液と混合した)陽極液の一部は、ループ81を通過し、ループ81では、電解質の密度を濃度計79で測定する。次に、(陰極液と混合した)陽極液は、陽極室入口25を通じて陽極室11に再度入る。
いくつかの実施形態では、電解質は、槽39、陽極室11及び/又は陽極液再循環ループ内に据え付けた加熱器を使用して加熱される。これらの実施形態では、槽39又は陽極室11内の加熱器の付近に据え付けた温度センサを使用し、システム制御器と通信し、温度を調整する。
図示のシステムは、基板洗浄機構を更に含み、基板すすぎ機構は、すすぎ液(脱イオン化水)供給源85とすすぎ液送出ライン87とを接続する。ライン87は、ノズルと結合してよく、電気的化学的金属除去の後、基板をすすぎ、基板から電解質を除去するように構成してもよい。
代替実施形態では、陰極液再循環ループ及び陽極液再循環ループは、共有要素を有さない。この実施形態を、2つの個別の再循環ループを有する電気的化学的金属除去装置の概略図を提供する図25に示す。本実施形態では、槽39が陰極液再循環ループからの陰極液を一切受け入れない以外、陽極液再循環ループは、図24に示す陰極液再循環ループと同一である。陰極液再循環ループは、本実施形態では、鎮静室37を含む。しかし、図24の共有槽の実施形態とは異なり、再循環された陰極液は、鎮静室から槽に向けられるのではなく、代わりに、水素ガスを除去した後、陰極室に向けて戻される。陰極液は、陰極液出口ポート51を通って出て流体ライン52に入り、ポンプ72を用いて、陰極液が陰極液入口17を通って入る陰極室13に向けて戻される。陰極液再循環ループは、陰極液の特性を個別に測定する1つ又は複数のセンサを含んでよい。例えば、図示の例では、導電率計量器78は、鎮静室内に配置され、陰極液の導電率を測定するように構成される。更に、陰極液ライン52から分岐する流体ループ54は、陰極液の密度を測定するように構成した濃度計80を備える。陰極液再循環ループは、陰極液再循環ループのセンサが提供する情報に応じて、いくつかの実施形態では、陽極液再循環ループから希釈剤及び/又は電解質成分を個別に受け入れるように構成してよい。例えば、酸溶液は、酸供給源58から酸送出ライン60を介してポート62を通じて鎮静室37に混ぜてよい。図示の実施形態では、陽極液再循環ループ及び陰極液再循環ループは、セルの外側で流体又はイオン連通していない。しかし、代替実施形態では、陽極液再循環ループは、陰極液再循環ループから、例えば、陰極液槽流出管を通じて、陰極液を受け入れてよい。前に説明した実施形態では、陽極液及び陰極液は、実質的に同一の濃度で同じ成分を含有する実質的に同一の組成を有していたが、他の実施形態では、陰極液及び陽極液は、異なる組成を有し、陰極液及び陽極液中の成分濃度は、個別の陽極液及び陰極液センサ並びに成分送出システムを使用して個別に管理されることにも留意されたい。異なる組成の陽極液及び陰極液を用いる一例では、陰極液は、金属イオンを実質的に含有せずに維持される。この実装形態では、分離陰極室(separated cathode chamber、SCC)は、膜によって陰極室から分離され、膜は、陽極液中に存在する金属イオン(例えば、基板から溶解した銅イオン)が、実質的に、搬送されて陰極室に入らないようにする。この分離陰極室の実施形態(又はSCC)は、陽極/基板及び陰極に対し実質的に異なる化学組成物を露出することを可能にする。この場合、SCC膜は、陽極液中の銅(又は他の金属)イオンが搬送されて陰極に到達し、陰極上でめっきしないようにする。そのようなめっきは、樹状又は不規則である可能性があり、粒子の生成をもたらし、セルの動作を悪化させることがある。したがって、いくつかの実施形態では、SCCがあり、SCC内の電解質は、実質的に、ウエハから除去すべき、陽極液中に含有される金属(例えば、銅)を含有しない。例えば、陰極液は、陽極液中に含有される酸と同じ種類の酸でもよい酸を含有することができる。いくつかの実施形態では、酸は、水素を発生させる陰極での反応によって消費されるため、SCCには、酸をSCCに直接添加することによって、又は陰極液再循環ループに酸を添加することによって、酸が周期的に補充される。
図26は、組み合わせた鎮静室/槽組立体の断面図を提供する。鎮静室37は、組立体の右前部分を占め、(側壁89を含む)側壁及び底壁によって槽39から分離され、底壁は、陰極液を鎮静室37から槽39に流すための開口51を有する。鎮静室及び槽は、連通する容器であるため、両方の容器内の電解質のレベルは、典型的には、同じであり、レベル91として示す。陰極室からの陰極液(例えば、酸と金属塩と水素気泡との混合液)は、開口40を有する導管35を介して鎮静室に向けられる。陰極液は、鎮静室内で、矢印によって示すように、整流器93によって画定される蛇行経路を介して下方に流れる。水素気泡は、この過程の間に陰極液から分離し、陰極液を通って上昇し、陰極液レベル91の上の空間に到達する。鎮静室の底部では、陰極液は、開口51を通って槽に入る。鎮静室内の水素は、陰極液の上の空間内で不活性ガス(例えば、N2)と混合され、不活性ガス流と共に鎮静室の外側に向けられる。図示の実施形態では、不活性ガスは、開口41を通じて鎮静室に流れ、水素と不活性ガスとの混合体は、鎮静室から出て、側壁(図示せず)内の開口を通り、次に、排出部に通じる開口47を通る。鎮静室は、水素気泡を電解質から(少なくとも部分的に)分離し、分離した水素を不活性ガスにより希釈するように構成される。いくつかの実施形態では、水素は、不活性ガスとの混合体中の水素濃度が、排出部を通じて放出する直前に、4容量%、より好ましくは、約1容量%よりも低いように希釈される(4%は、空気中での水素の爆発下限界(lower explosive limit、LEL)である)。水素と不活性ガスとの混合体は、混合体中の不活性ガスの全てがO2と置換された場合でさえ、水素濃度が、混合体として、空気中での爆発下限界(LEL)よりも低いように構成することが好ましい。一例では、0.8LのH2を20LのN2で希釈する。陰極液中のH2の最大量は、脱めっきセルを通過する電荷量を測定することによって推定し得ることに留意されたい。というのは、H2は、通過する電荷に比例するためである。更に、H2濃度は、いくつかの実施形態では、水素センサによって測定され、水素センサは、ガス混合体が排出部に入る直前に置くことができる。いくつかの実装形態では、不活性ガスは、約20〜30L/分の流量で鎮静室に連続的に流れ、いくつかの実施形態では、流量は、H2センサが提供するフィードバックを使用して、H2濃度が所定濃度よりも低い(例えば、4容量%よりも低い)ように調節される。
一実施形態による槽39の断面図を図27に示す。隣接する鎮静室の細部は、明暸さを保つために図示しない。槽39は、陽極室からライン74を介して陽極液を受け入れる進入ポート72を有する。槽は、鎮静室からの陰極液を受け入れる入口も有する。入口は、この図では示さない。陰極液及び陽極液は、図示の実施形態では、槽内で混合され、混合した電解質の伝導率は、導電率計量器77で測定される。次に、電解質は、最初に、槽内に配置したフィルタ95を通過して、槽から再循環ループに戻る。フィルタ95は、槽内に配置し、あらゆる残存する水素気泡から電解質をフィルタ処理するように適合させた複数のフィルタのうちの1つであってよい。一実装形態では、2つのフィルタを槽内で使用する。フィルタは、一実施形態では、電解質がフィルタを通って(側方ではなく)下方に通過するように配置される。そのような垂直に向けたフィルタは、水素気泡の分離を促進する。
図示の実施形態では、希釈剤は、希釈剤供給源から、希釈剤入口61に入り、希釈剤ライン59を介して槽39に添加される。希釈剤ライン59は、槽39の底部付近、ライン74の出口付近で終端し、図27に示す斜視図では、ラインの背後からポンプ71につながる。このことは、陽極液帰還ライン74からの希釈剤及び電解質が迅速に混合されることを保証する。
ポンプ71は、いくつかの実施形態では、槽内の圧力よりも低い圧力を生成するように構成され、槽からの電解質が、吸引力を介して流体ライン及びポンプと結合されたフィルタに引っ張られるようにする。このことは、図示のシステムでは、槽内の圧力を上昇させるポンプを使用するよりも有利である。というのは、圧力が増大すると、電解質へのガスの溶解がもたらされ、望ましくないためである。
槽は、電解質レベル・センサ97を更に含み、電解質レベル・センサ97は、槽内の電解質レベルを測定し、閾値レベルを超えている場合、制御器に伝えるように構成される。槽は、電解質を排出口65に除去するように構成した出口63を更に含む。槽の上部分には、槽は、空気入口99等、空気を導入する1つ又は複数の開口を含んでよい。
図28A及び図28Bは、いくつかの実施形態による、活性陰極を用いる脱めっきセルの一部分の断面図を提供する。陰極は、図示の実施形態では、全体に円板形状であり、電気的めっきの間、基板の反対側に配置される。いくつかの実施形態では、基板の直径及び陰極の直径は、25%未満等、30%未満だけ異なる。このことは、均一性のために有利である。更に、比較的大きな表面積の陰極は、陰極での電流密度を低減するのに役立ち、この電流密度は、陰極と電解質との間の不要な相互作用を最小化することができる。
図28Aは、金属(例えば銅)が活性陰極上にめっきされる前のセルを示し、図28Bは、基板から金属が除去され、活性陰極上にめっきされた後の同じセルを示す。図示の実施形態では、装置は、電解質が陰極室に入ることを可能にし、電解質が、活性陰極内に作製した開口を上側方向に通過するように構成される。装置は、電解質が2つの場所、即ち、イオン抵抗性イオン透過性要素の上及びこの要素の下で、陽極室に入るのを個別に可能にするように更に構成される。陽極室への入口及び陽極室からの出口は、基板表面に近接して直交電解質流を生成する等のために、方位角的に対向する位置に近接して位置する。
図28Aでは、脱めっきセル2200の一部分を示す。セルは、活性陰極を収容する陰極室、並びにイオン抵抗性イオン透過性要素2201及び基板(図示せず)を収容する陽極室を含む。陽極室及び陰極室は、イオン透過性膜を支持する膜枠2203によって分離される。概して、膜は、イオン選択性である必要はない。膜は、活性陰極で発生し得る金属粒子が陽極室に入り、基板に接触しないように構成される。交差流閉じ込め構造体2202を有するイオン抵抗性イオン透過性要素2201は、膜枠2203の上で、膜枠2203に取り付けられる。膜枠は、陰極室の上にあり、陰極室壁2204に取り付けられ、陰極室壁2204に封止される。
流体噴射マニホルド2205は、流れる電解質を、イオン抵抗性イオン透過性要素2201と膜枠2203との間の陰極室、及びイオン抵抗性イオン透過性要素と基板との間の間隙内に供給するために使用する。陽極室内に供給する流体流に加えて、陰極室内に供給する更なる流体流がある。流体は、導管2208を介して陰極室2207内に噴射され、次に、図示の例では、拡散板2209を通って流れ、陰極2210を通って、陰極2210の周囲を通過する。次に、陰極液は、膜枠2203の下の陰極室内の間隙を介して陰極室から出て、別のセットの導管(図示せず)を通って出て、マニホルド2006に到達し、最終的にセルから出る。陰極2210は、陰極室底部分において、その外周が、棚状突起2211によって支持されている。図示の実施形態では、陰極2210は、複数の凹み(溝)を陰極の縁部に有する。これらの凹みは、陰極を棚状突起2211上に置く際の開口を形成し、陰極の下で陰極室に入ることが可能である流体が、陰極室の外周で、陰極室の大部分を通過することを可能にする。図28Bを参照すると、金属2212は、1つ又は複数の基板を脱めっきする間、陰極2210上にめっきされる。いくつかの実施形態では、金属は、陰極が陰極室から取り外されるまで、陰極上に、約1.5〜3cmの間等、少なくとも約1cmの厚さに蓄積されることが可能である。
金属が陰極上に堆積すると、陰極の重量は、著しく増大することがあり、陰極をセルから取り外すことが困難である場合がある。いくつかの実施形態では、陰極は、陰極の導電性表面上に複数の非導電性固定要素を含むように設計又は構成され、固定要素は、1つ又は複数のハンドルに取り付けられるように構成され、1つ又は複数のハンドルは、使用後、陰極を持ち上げ、陰極をセルから取り外すために使用することができる。
陰極は、いくつかの実施形態では、電解質が陰極を通って上方に流れる際に電解質の通過を可能にする複数の穴を含む。更に、陰極の縁部に作製した凹みにより、陰極を陰極室内の棚状突起に配置した後、陰極の縁部で電解質が上方に移動することを可能にし、凹みが外周開口を形成するようにする。
図28A及び図28Bに示す実施形態では、陰極は、ハンドルを取り付けるための非導電性固定要素、陰極表面から上方に延在する非導電性管に嵌合する貫通孔、及び陰極の縁部での凹みの全てを含む。
そのような陰極の上面図を図29Aに示し、斜視図を図2Cに示す。図2Dは、ハンドルを固定要素に取り付けた同じ陰極を示す。図2Bは、陰極の貫通孔の1つに嵌合する絶縁管の一部分の図である。
図29A〜図29Dを参照すると、陰極は、全体が円板形状の本体2401を有し、ステンレス鋼又はあらゆるめっき可能な金属から作製することができる。円板は、いくつかの非導電性(例えばプラスチック)要素との嵌合を可能にするように機械加工されている。流れを通過させる絶縁プラスチック管2402のセットは、陰極2401に取り付けられ、陰極内の開口に嵌合する。この嵌合は、プラスチック管2402が、管2403内の溝及びクリップ・リング2404によって陰極に取り付けられているのを示す図29Bに示される。各管は、陰極内に形成した孔を貫通し、クリップ・リングを介して陰極に取り付けられている。いくつかの実施形態では、管は、陰極の円板形状本体の上に、高さ1.2〜4.5cmの高さまで延在する。管の高さは、好ましくは、陰極上にめっきされる金属の突出する厚さを超えるべきである。
流れを通過させる管は、対流が陰極室の内部を流れ、金属が陰極表面上に蓄積されることを可能にするように構成される。管は、金属の蓄積により、貫通孔が塞がれるのを防止する。
陰極は、本実施形態では、外周部に配置した凹み(溝)2405を有し、外周部は、陰極を、陰極室外周部で、支持縁部2211によりセル内に組付けた際、陰極縁部の周囲を流れる流体のための更なる経路を可能にする。これらの凹み2406は、流れを通過させる管2402と組み合わせて、電解質に、陰極室内で電解質が対流して流れるのを可能にするいくつかの経路をもたらす。
陰極は、中心に位置する組み付けボルト2213も含み、ボルト2213は、装置の中心に陰極を配置するため、また、陰極の電気バス端子2214内で陰極電気陰極の基部を駆動するために使用する。組み付けボルトは、プラスチック絶縁キャップ及び力伝達部品2215によって電解質から絶縁されており、プラスチック絶縁キャップ及び力伝達部品2215は、封止キャップ2216を受けることもでき、(金属を陰極上で、流れを通過させる管の開口の直ぐ下で、その最大厚さまでめっきした場合)ボルト頭部2213が電解質に露出されないようにし、陰極の寿命が終了すると、取り外すことが可能である。様々なOリング及びガスケット封止体は、ボルト2213及び陰極の電気バス端子2214等の組立体の金属部分が電解質に露出されるのを防止し、これらの部品上をめっきして、様々な金属組み付け部品がめっきによって接合されるのを回避する助けになることができる。いくつかの(図示では4つの)非導電性(例えばプラスチック)組み付け柱2406も含まれ、柱は、ハンドル2408に取り付けられるように構成される。手で取り外されるハンドル2408は、ボルト2409を使用してねじ山2407に螺入する。柱2406も、陰極の逆のサイズでねじ切りされ、別のセットのボルトが柱2406を陰極2401に堅固に取り付けることを可能にする。組み付け柱2406の部分は、好ましくは、全ての柱が陰極円板上の異なる径方向位置に置かれるように選択される。図示のように、組み付け柱2406は、非導電性組み付け柱の存在のために、特定の半径において、ウエハ基板上に、露出される電流が著しくよりわずかな量である領域がもたらされるのを回避する等のために、径方向に互い違いに配置される。2つの柱2406は、同じ半径で位置しないか、又は同じ投影半径を阻止する表面を有さず、360度の回転の間、陰極2401の上でウエハのあらゆる部分が露出されない。陰極がある厚さまでめっきされて、陰極の取り外しを必要とする場合、ハンドル2408は、柱2406の穴内のねじ部を使用してボルト2409を介して柱2406に取り付けられる。このことにより、取り付けられためっき済み金属を有する板を一体に迅速に効率的に取り外すことを可能にする。取り外しの手順は、キャップ2216のねじを緩めて外し、組み付けボルト2213を露出させること、ボルト2213のねじを緩めて外すこと、及びハンドル2408を引き上げることを伴う。
いくつかの実施形態では、図28Aで説明した装置等の活性陰極を用いる装置は、特別に開発した電解質と共に使用される。これらの電解質は、マスクした基板の効率的な電気的エッチング及び電気的平坦化を可能にし、基板上で高効率のめっきを達成するように構成される。例えば、高電荷効率(例えば、>95%の電荷効率)で、かなり厚い膜(例えば、少なくとも2cmの厚さ又は少なくとも2.5cmの厚さの膜)をめっきすることが可能である。電解質は、陰極上に堆積する膜の形態を最適化するように構成され、小型で取り扱いづらくなく、樹枝状ではない金属層を陰極上に形成するようにする。電解質に関し記載した利点は、この電解質を図28Aに示す陰極室と共に使用する場合に特に顕著である。一実施形態では、提供する電解質は、かなり高い金属濃度及び高い酸濃度を有し、更に、基板表面での酸化工程を阻止又は干渉せずに、活性陰極表面でのめっきを阻止する添加剤を含んでいてもよい。例えば、いくつかの実施形態では、電解質は、30g/Lを超える濃度の銅及び625g/Lを超える濃度のリン酸を含み、電気的めっき抑制添加剤及び/又は別の添加剤を更に含む。適切なめっき抑制剤の例(例えば、銅めっき抑制剤)は、ポリアルキレングリコール及びポリアルキレンオキシドのクラスに由来する化合物を含む。例えば、置換又は非置換ポリエチレングリコール及び/又はポリエチレンオキシドを添加剤として使用してよい。更に、少量の塩化物イオンを添加剤として電解質中に含めてよい。
電気的化学的金属除去のための装置は、電気的めっき装置も含むシステムの一部とすることができ、システムは、電気的めっきの後、基板を電気的化学的金属除去装置に移送するように構成される。図30は、一例示的一体型システムの概略図を表し、このシステムを使用して、電気的めっき及び電気的化学的金属除去を含む複数の動作を実行してよい。図30に示すように、一体型システム307は、複数の電気的めっきモジュール、この場合、3つの個別のモジュール309、311及び313を含んでよい。各電気的めっきモジュールは、典型的には、電気的めっきの間に陽極及び電気的めっき溶液を収容するセル、並びに電気的めっき溶液中でウエハを保持し、電気的めっきの間にウエハを回転させるウエハ保持器を含む。図3に示す電気的めっきシステム307は、電気的化学的金属除去システムを更に含み、電気的化学的金属除去システムは、3つの個別の電気的化学的金属除去モジュール315、317及び319を含む。モジュールのそれぞれは、本明細書で説明する陰極及びウエハ保持器を収容するように構成した脱めっきセルを含む。更に、一体型システム307は、図示しない1つ又は複数の電気的充填後モジュール(post−electrofill module、PEM)を含んでいてもよいが、これらのモジュールの機能は、あらゆる電解質溶液及び汚染物から、ウエハを完全にすすぐ及び/又は乾燥することを含んでよい。実施形態に応じて、モジュール309、311及び313の1つによってウエハに電気的に充填した後、PEMのそれぞれを利用し、以下の機能:縁部斜面の除去(edge bevel removal、EBR)、裏側エッチング、ウエハの酸洗浄、ウエハのすすぎ及び乾燥のいずれかを実施してよい。一体型システム307は、希釈剤を保持し、電気的化学的金属除去モジュールに送出するように構成した化学希釈モジュール321、及び電気的化学的金属除去モジュールによって使用される電解質を保持するように構成した中心電解質浴槽323を含んでいてもよい。中心電解質浴槽は、電気的化学的金属除去モジュール内で電解質として使用される化学溶液を保持するタンクであってよい。一体型システム307は、水素管理システム333も含んでよく、水素管理システム333は、1つ又は複数の鎮静化室、及び不活性ガスを保管し、鎮静化室に送出する不活性ガス供給源を含んでよい。いくつかの実施形態では、濾過・ポンプ注入ユニット337は、中心浴槽323のために電解質溶液を濾過し、この電解質溶液を電気的化学的金属除去モジュールにポンプ注入する。電気的めっき及び/又は電気的化学的金属除去モジュールは、(例えば、電気的めっき添加剤を電気的めっき溶液に添加する)独自の希釈・分注モジュール、独自の濾過・ポンプ注入ユニット、及び独自の中心電解質浴槽(図示せず)を含んでよい。いくつかの実施形態では、電気的化学的金属除去モジュール及び電気的めっきモジュールは、ダブル・デッカー構成で垂直に積み重ねられ、電気的めっきモジュールは、第1のデッキを占め、電気的化学的金属除去モジュールは、異なるデッキを占める。他の実施形態では、電気的めっきモジュールは、ツールのある区分内に積み重ねられ、電気的化学的金属除去モジュールは、ツールの異なる区分内に積み重ねてもよい。
最後に、いくつかの実施形態では、電子機器ユニット339は、電気的めっきシステム307の動作に必要とされる電子制御及びインターフェース制御をもたらすシステム制御器として働いてもよい。システム制御器は、典型的には、1つ又は複数のメモリ・デバイス、及び命令を実行するように構成した1つ又は複数のプロセッサを含み、一体型システムがその意図する工程動作を実施することができるようにする。本明細書で説明する実装形態に従った工程動作を制御する命令を含む機械可読媒体は、システム制御器に結合させてよい。ユニット339は、システムのための電源を提供してよい。
動作中、後部ロボット・アーム325を含むロボットを使用し、カセット329A又は329B等のウエハ・カセットからウエハを選択してよい。後部ロボット・アーム325は、真空取り付け器又は何らかの他の実現可能な取り付け機構を使用してウエハに取り付けることができる。
前部ロボット・アーム340が、カセット329A又はカセット329B等のウエハ・カセットからウエハを選択してよい。カセット329A又は329Bは、前面開口統合化ポッド(front opening unified pods、FOUP)であってもよい。FOUPは、筐体であり、制御された環境内でウエハを確実に安全に保持し、ウエハを、適切な装填ポート及びロボットによる操縦システムを備えるツールによる処理又は測定のために取り出すように設計されている。前部ロボット・アーム340は、真空取り付け器又は何らかの他の取り付け機構を使用してウエハを保持してよい。前部ロボット・アーム340は、カセット329A又は329B、搬送ステーション350又はアライナ310とインターフェースで接続してよい。搬送ステーション350から、後部ロボット・アーム325は、ウエハにアクセスしてよい。搬送ステーション350は、前部ロボット・アーム340及び後部ロボット・アーム325が、整列器310を通さずにウエハをその間で渡すことができる場所又は一部分であってよい。いくつかの実施形態では、搬送ステーション350は、ウエハ縁部撮像モジュールとして働いてよい(又はウエハ縁部撮像モジュールの場所として働いてよい)ことに留意されたい。しかし、いくつかの実装形態では、ウエハが後部ロボット・アーム325上に適切に整列されていることを保証するため、後部ロボット・アーム325が、整列器310と共にウエハを整列させてよい。後部ロボット・アーム325は、電気的充填モジュール309、311若しくは313の1つ、又は電気的化学的金属除去モジュール315、317及び319の1つにウエハを送出してよい。
電気的めっきモジュール309、311若しくは313又は電気的化学的金属除去モジュール315、317及び319に正確に送出するために、ウエハが後部ロボット・アーム325上で適切に整列されていることを保証するため、後部ロボット・アーム325は、ウエハを整列器モジュール331に搬送する。いくつかの実施形態では、整列器モジュール331は、整列アームを含み、整列アームに対して後部ロボット・アーム325はウエハを押す。ウエハが整列アームに対して適切に整列されていると、後部ロボット・アーム325は、整列アームに対して事前設定位置に移動する。他の実施形態では、整列器モジュール331は、ウエハの中心を決定し、後部ロボット・アーム325がウエハを新たな位置から持ち上げるようにする。次に、後部ロボット・アーム325を、ウエハに再度取り付け、ウエハを電気的めっきモジュール309、311若しくは313又は電気的化学的金属除去モジュール315、317及び319の1つに送出する。
したがって、一体型システム307を使用してウエハ上に金属層を形成する典型的な動作において、後部ロボット・アーム325は、ウエハをウエハ・カセット329A若しくは329Bから整列器モジュール331に搬送し、事前に電気的めっき中心配置を調節し、次に、電気的めっきモジュール309、311若しくは313に搬送し、電気的にめっきし、次に、整列器モジュール331に戻し、事前に電気的平坦化中心配置を調節し、次に、電気的化学的金属除去モジュール315、317若しくは319に搬送し、縁部斜面を除去する。当然、いくつかの実施形態では、中間的な中心配置/整列ステップは、省いてよく、ウエハは、めっきモジュールと電気的平坦化モジュールとの間を直接搬送してよい。いくつかの実施形態では、ウエハは、電気的充填モジュールからPEMモジュールに搬送され、次に、PEMモジュールから電気的化学的金属除去モジュールに搬送される。
場合によっては、工程は、最初に、ウエハ保持カセット又はFOUP(前面開口共通ポッド、front opening universal pod)からウエハを取り出し、貫通レジスト特徴部を含むウエハ表面を気泡不含湿潤工程において準大気圧力下、完全に浸潤させる事前湿潤真空ステーションにウエハを搬送し、この湿潤させたウエハを第1のめっきモジュールに搬送し、第1のめっきモジュール内で第1の金属(例えば銅)を電気的にめっきし、めっき溶液を回収し、第1のめっきモジュール内でウエハをすすぎ、ウエハを第1のめっきモジュールから取り出し、依然として表面が湿潤しているウエハを電気的平坦化モジュールに搬送し、電気的平坦化モジュール内でウエハを処理し、電気的平坦化溶液を回収し、電気的平坦化モジュール内でウエハをすすぎ、次に、ウエハを少なくとも完全にすすぎ、乾燥させる後処理モジュール(post treatment module、PTM)にウエハを搬送し、次に、乾燥させたウエハをカセット又はFOUPに戻す。いくつかの実施形態、上記のシーケンスを修正し、電気的平坦化モジュール内での工程が完了した後、最初に訪問しためっきモジュール内で付着させた金属と同じ金属(例えば銅)で基板をめっきするように構成した別のめっきステーションにウエハを搬送するか、又は以前に訪問した電気的平坦化モジュール内で処理された金属と異なる金属(例えばニッケル、スズ若しくは銀スズ合金)で基板をめっきするように構成しためっきステーションにウエハを搬送することを含む。好ましい実施形態の1つは、第1のめっきモジュール内でウエハを銅で最初にめっきし、次に、第2のめっきモジュールに搬送し、第2のめっきモジュール内でニッケルでめっきする(このステップは、任意選択で省いてよい)。次に、スズ若しくはスズ銀合金のいずれかを堆積させる第3のめっきモジュールにウエハを搬送し、次に、電気的平坦化モジュールにウエハを搬送し、スズ銀膜の一部を除去し、この除去工程の結果、スズ銀の厚さの分布の改善がもたらされる。厚さの分布の改善には、個々の特徴部(特徴部内の分布)の改善及びウエハにわたる積層構造体の集合分布(ダイ内及びウエハ内の厚さの分布)の改善を含み、改善は、最終電気的平坦化モジュール内での処理が実施されなかった場合の構造体と比較される。この好ましい実施形態の一実装形態では、スズ銀平坦化のために電気的平坦化モジュール内で使用される電解質溶液は、硫酸又はメタンスルホン酸溶液であり、45重量%を超える濃度を有する。
電気的めっき動作は、ウエハをクラムシェル型ウエハ保持器に装填すること、電気的めっきモジュール309、311又は313の1つのセル内に収容された電気的めっき浴槽にクラムシェルを下げることを伴ってもよく、電気的めっき浴槽では、電気的めっきが行われる。セルは、典型的には、めっき金属供給源として働く陽極(とはいえ、陽極は離れていてもよい)、及び電気的めっき浴溶液を収容し、電気的めっき浴溶液は、分注システムからの任意選択の化学添加剤と共に中心電気的充填浴槽(図示せず)によって供給してもよい。任意選択のEBRの後、ウエハは、典型的には、洗浄、すすぎ、乾燥され、電気的化学的金属除去モジュール315、317及び319の1つに向けられ、電気的化学的金属除去モジュール315、317及び319は、電気的化学的金属除去電解質の中に基板を下げるように構成したクラムシェル型ウエハ保持器を同様に採用してよい。
最後に、電気的化学的金属除去処理が完了した後、及びPTMモジュールにおける任意選択の洗浄、乾燥の後、後部ロボット・アーム325は、ウエハを取り出し、ウエハをカセット329A又は329Bに戻してよいことに留意されたい。ここから、カセット329A又は329Bは、他の半導体ウエハ処理システムに提供してよい。
電着及び電気的化学的金属除去のために構成した一体型装置の代替実施形態を図31に概略的に示す。本実施形態では、装置3100は、電気的めっき及び/又は電気的化学的金属除去セル3107のセットを対の構成若しくは複数の「デュエット」構成で有し、それぞれ、電解質含有浴を含む。電気的めっき及び電気的化学的金属除去自体に加えて、装置3100は、様々な他の電気的めっき又は電気的平坦化に関連する工程及びサブステップ、例えば、スピンすすぎ、スピン乾燥、金属及びシリコンの湿式エッチング、無電解堆積、事前湿潤及び事前化学処理、還元、焼きなまし、フォトレジスト剥離及び表面事前活性化等を実施してもよい。装置3100は、図31では上から下を見る状態で概略的に示され、単一レベル又は「階」のみが図では見せているが、そのような装置、例えば、ラム・リサーチ社のSabre(登録商標)3Dツールが、互いの上部に「積層した」2つ以上のレベルを有することができ、それぞれのレベルは、可能性としては、同一又は異なる種類の処理ステーションを有することが当業者には容易に理解されるであろう。いくつかの実施形態では、電気的めっきステーション及び電気的化学的金属除去ステーションは、異なるレベルのツールに配置される。他の実施形態では、単一レベルに、電気的めっきステーション及び電気的化学的金属除去ステーションの両方を含めてよい。
再度図31を参照すると、電気的にめっきされる基板3206は、一般的に、前部装填FOUP3101を通じて装置3100に供給され、この例では、FOUPから、前部ロボット3102を介して装置3100の主要基板処理領域に運ばれ、前部ロボット3102は、基板3106を後退させ、主軸3103によって駆動させ、多次元で、1つのステーションからアクセス可能なステーションのうち別のステーションに移動させることができる。この例では、2つの前部のアクセス可能なステーション3104及び更には2つの前部のアクセス可能なステーション3108を示す。前部のアクセス可能なステーション3104及び3108は、例えば、事前処理ステーション及びスピンすすぎ・乾燥(spin rinse drying、SRD)ステーションを含んでよい。前部ロボット3102の側方から側方への横方向の移動は、ロボット軌道3102aの利用により達成される。基板3106のそれぞれは、モータ(図示せず)に接続した主軸3103によって駆動されるカップ/円錐組立体(図示せず)によって保持してもよく、モータは、組み付けブラケット3109に取り付けてよい。この例では、合計8つの電気的めっき及び/又は電気的化学的金属除去セル3107の、セル3107の4つの「デュエット」も図示される。電気的めっきセル3107を使用し、銅含有構造体のための銅を電気的にめっきし、はんだ構造体のためのはんだ材料を電気的にめっきしてもよい。金属をめっきステーション3107の1つで電気的にめっきした後、基板を、同じレベルの装置又は異なるレベルの装置3100のいずれかの電気的化学的除去セルに搬送する。システム制御器(図示せず)を電着装置3100に結合し、電着装置3100の一部又は全ての特性を制御してよい。システム制御器は、本明細書で前に説明した工程による命令を実行するようにプログラムするか又はそれ以外の方法で構成してよい。
本発明の別の態様は、本明細書で説明する方法を達成するように構成した装置である。適切な装置は、工程動作を達成するハードウェア、及び本発明による工程動作を制御する命令を有するシステム制御器を含む。システム制御器は、典型的には、1つ又は複数のメモリ・デバイス、及び命令を実行するように構成した1つ又は複数のプロセッサを含み、装置が本発明による方法を実施するようにする。本明細書による工程動作を制御する命令を含む機械可読媒体は、システム制御器に結合させてよい。
いくつかの実装形態では、制御器は、上記例の一部であってよいシステムの一部である。そのようなシステムは、半導体処理機器を備えることができ、半導体処理機器は、1つ又は複数の処理ツール、1つ又は複数の室、処理及び/又は特定の処理構成要素(ウエハ台、ガス流システム等)のための1つ又は複数のプラットフォームを含む。これらのシステムは、半導体ウエハ又は基板の処理前、その間及びその後にシステムの動作を制御する電子機器と共に一体化してよい。これらの電子機器は、「制御器」と呼ぶことがあり、1つ若しくは複数のシステムの様々な構成要素又は下位部品を制御してよい。制御器は、処理要件及び/又はシステムの種類に応じて、本明細書で開示する工程のいずれかを制御するようにプログラムしてよく、これらの工程には、処理ガスの送出、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送出設定、位置及び動作設定、ツールへの及びツールからのウエハの運搬、並びに特定のシステムに接続若しくはインターフェースで接続される他の運搬ツール及び/又は装填ドックを含む。
大まかに言えば、制御器は、命令を受け、命令を発行し、動作を制御し、洗浄動作を可能にし、終了点の測定を可能にする等、様々な集積回路、論理、メモリ及び/又はソフトウェアを有する電子機器と定義してよい。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサを含んでよい。プログラム命令は、様々な個々の設定(又はプログラム・ファイル)の形態で、制御器に伝達される命令であってもよく、これらの設定は、半導体ウエハ上で若しくは半導体ウエハのため、又はシステムに対して特定の工程を実行する動作パラメータを定義する。動作パラメータは、いくつかの実施形態では、1つ又は複数の層、材料、金属、酸化物、シリコン、シリコン二酸化物、表面、回路及び/又はウエハのダイを作製する間、1つ又は複数の処理ステップを達成する工程技師によって定義される方策の一部であってよい。
制御器は、いくつかの実装形態では、システムと一体化し、システムに結合するか、それ以外の方法でシステムにネットワーク化するか、又はそれらの組合せであるコンピュータの一部であるか又は当該コンピュータに結合してよい。例えば、制御器は、「クラウド」内にあるか又は製造ホスト・コンピュータ・システムの全て若しくは一部であってよく、これにより、ウエハ処理に対する遠隔アクセスを可能にすることができる。コンピュータは、システムへの遠隔アクセスを可能にし、製造動作に関する現在の経過を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向若しくは性能メトリックを調査し、現在の処理のパラメータを変更し、現在の処理に追従する処理ステップを設定する、又は新たな工程を開始してよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワーク上で工程レシピをシステムに提供することができ、ネットワークには、ローカル・ネットワーク又はインターネットを含んでよい。遠隔コンピュータは、ユーザ・インターフェースを含んでよく、ユーザ・インターフェースは、パラメータ及び/又は設定の入力又はプログラム化を可能にし、これらのパラメータ及び/又は設定は、次に、遠隔コンピュータからシステムに伝達される。いくつかの例では、制御器は、1つ又は複数の動作の間に実施すべき処理ステップのそれぞれに関するパラメータを指定するデータの形態の命令を受信する。パラメータは、実施すべき処理の種類、及び制御器をインターフェースで接続するか又は制御するように構成したツールの種類に固有であってよいことを理解されたい。したがって、上記のように、制御器は、1つ又は複数の離散制御器を備える等によって、分散してよく、1つ又は複数の離散制御器は、一緒にネットワーク化され、本明細書で説明する工程及び制御等の共通の目的に向かって働く。そのような目的で分散させた制御器の一例は、ある室での1つ又は複数の集積回路であり、1つ又は複数の集積回路は、(プラットフォームのレベルで、若しくは遠隔コンピュータの一部として)遠隔に位置する1つ又は複数の集積回路と通信し、遠隔に位置する1つ又は複数の集積回路は、室での工程を制御するように組み合わせられる。
限定はしないが、例示的なシステムは、電気的化学的金属除去又はモジュール、電気的めっきシステム又はモジュール、プラズマ・エッチング室又はモジュール、堆積室又はモジュール、化学的金属エッチング室又はモジュール、洗浄室又はモジュール、縁部斜面エッチング室又はモジュール、物理蒸着(PVD)室又はモジュール、化学蒸着(CVD)室又はモジュール、原子層堆積(ALD)室又はモジュール、原子層エッチング(ALE)室又はモジュール、イオン注入室又はモジュール、軌道室又はモジュール、並びに半導体ウエハの作製及び/若しくは製造に関連付けるか若しくは使用してよいあらゆる他の半導体処理システムを含んでよい。
上記のように、ツールによって実施すべき1つ又は複数の工程ステップに応じて、制御器は、他のツール回路若しくはモジュール、他のツールの構成要素、クラスタ・ツール、他のツール・インターフェース、隣接するツール、近隣のツール、工場全体を通じて位置するツール、メイン・コンピュータ、別の制御器、若しくはウエハ容器をツール場所に持って行きツール場所から持って来る、材料搬送で使用されるツール、及び/又は半導体製造工場内の装填ポートの1つ又は複数と通信してよい。
概して、制御器は、本明細書で説明する方法のいずれかを実施するプログラム命令を含んでよい。いくつかの実施形態では、制御器は、電気的エッチング形態、電気的研磨形態、又は電気的エッチング形態、その後の電気的研磨形態において、金属を除去するプログラム命令を含む。制御器は、電気的化学的金属除去装置の1つ又は複数のセンサからフィードバックを受信してもよく、センサの示度に基づき、脱めっきセルに1つ又は複数の流体を添加するプログラム命令を含んでよい。
パターン形成方法/装置:
本明細書の上記で説明した装置/工程は、例えば、半導体デバイス、表示器、LED、光起電力パネル等の作製若しくは製造のためのリソグラフィパターン形成ツール又は工程と共に使用してよい。典型的には、そのようなツール/工程は、必ずしもそうではないが、共通の作製設備内で一緒に使用又は実施される。膜のリソグラフィパターン形成は、典型的には、以下のステップの一部又は全てを含み、それぞれのステップは、いくつかの可能なツールにより可能になる。(1)スピン式若しくは噴霧式ツールを使用してフォトレジストを加工物、即ち、基板上に塗布するステップ;(2)熱板若しくは炉若しくはUV硬化ツールを使用してフォトレジストを硬化させるステップ;(3)ウエハ・ステッパ等のツールを用いて可視光若しくはUV若しくはx線光にフォトレジストを露出させるステップ;(4)ウェット・ベンチ等のツールを使用してレジスト選択的に除去するようにレジストを現像し、これにより、パターン形成するステップ:(5)ドライ・エッチング・ツール若しくはプラズマ支援エッチング・ツールを使用して、下にある膜若しくは加工物上にレジスト・パターンを転写するステップ;及び(6)RF若しくはマイクロ波プラズマ・レジスト剥離器等のツールを使用して、レジストを除去するステップ。
[実施例]
実施例1。温度、導電率及び密度に基づきH3PO4及びCu2+イオン濃度を決定する経験式を得た。これらの式は、約20〜50℃の間の温度範囲で、本質的にリン酸及びリン酸銅からなる溶液に対して有効であり、リン酸の濃度範囲は、約45〜50重量%の間であり、Cu2+の濃度範囲は、約30〜60g/Lである。これらの式は、酸及び銅に対し既知の濃度を有する溶液を使用し、温度及び(酸及び銅イオン個別の)濃度、並びに(酸及び銅イオン個別の)濃度を関数とする密度を関数として、導電率を測定によって決定した。温度を関数とする密度の測定は、不要である。というのは、溶液密度は、温度に対する依存性がかなり弱いためである。
表2は、経験式の決定で使用した既知の酸及び銅濃度を有する7つの溶液を列挙する。密度及び導電率は、各溶液に対して測定した。密度は、19℃で測定した。導電率は、19℃、29℃、40℃及び49℃で測定した。
温度、密度及び導電率を関数とするリン酸濃度の経験的な相関関係を表2で提供するデータを使用して決定した。更に、温度、密度及び導電率を関数とする銅イオン濃度の経験的な相関関係を表2で提供するデータを使用して決定した。これらの相関関係を使用し、電気的化学的金属除去装置を動作させる間、電解質、導電率及び密度の示度に基づき、銅及びリン酸の濃度を決定した。
実施例2。銅イオンに対する所望の定常状態濃度(銅イオンの標的濃度)を維持するために、電気的化学的金属除去装置から除去する必要がある電解質の量を計算的モデル化によって決定した。この実施例におけるモデルは、除去する電解質の容積が、銅不含希釈剤の容量と等しいこと、及びセル内の銅除去率が、約2.4mg/秒であり、システム内の電解質の全容積が、60Lであることを仮定する。
定常状態銅濃度を関数として得られた電解質除去率(セルごとの1時間あたりの排出率、リットル単位)の依存性を図32に示す。より高い定常状態の銅濃度では、より低い率の電解質除去(及び希釈剤の添加)が必要であることがわかる。
実施例3。特徴部の均一性を修正するため、電気的酸化工程をCu特徴部に施した。使用した基板は、3000ÅのCuシード層を50μmの厚さのフォトレジストで被覆した、300mmの直径のSiウエハである。特徴部は、まばらに詰まっているレイアウト(200μm中心間距離を有する50μmの直径の特徴部)及び密に詰まっているレイアウト(100μm中心間距離を有する50μm直径の特徴部)で繰り返すダイ・パターンを形成するため、フォトレジストを選択的に除去することによって画定した。標的特徴部厚さは、30μm、又はフォトレジスト特徴部深さの60%であった。これらの特徴部を典型的な条件(表3を参照)で電気的にめっきし、電気的酸化後処理を施さない場合、WIDの非均一性は、0.86μmであり、WIFの非均一性は、3.0μmである。
電気的酸化後処理のために使用するウエハを、合計42μm又は84%のフォトレジスト特徴部深さを求めて、更なる12μmの厚さまでめっきした(表3を参照)。その後、上記のように、ウエハを電気的酸化処理に適したセルに搬送した。セルは、不活性ステンレス鋼の陽極、及び鎮静化室と陽極/陰極槽との組合せを有する。使用した交差流の速度は、10L/分であった。電解質は、30℃で60g/LのCu及び48重量%のリン酸から構成した。このパターンのためのこの電解質の臨界電位は、約0.5〜0.6Vであるように前もって決定してあった。電気的エッチング形態において、4μmのCuを電流制御下、6Aで除去した。Cu参照電極に対して印加した電圧は、約0.3Vであった。次に、電気的研磨形態において、8μmのCuを電位制御下、0.7Vで除去した。各ステップの終了点は、通過した電荷量を与えるため、経時的に印加した電流を積分することによって決定した。
得られた特徴部は、0.36μmのWID非均一性(めっきのみと比較して57%の低減)、及び0.75μmのWIF非均一性(めっきのみと比較して75%の低減)を示した。

Claims (30)

  1. 半導体基板を処理する方法であって、前記方法は、
    (a)複数の貫通マスク金属特徴部を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;
    (b)臨界電位を下回る電気的エッチングと、臨界電位を上回る電気的研磨と、臨界電位を上回る電気的研磨が続くこととなる臨界電位を下回る電気的エッチングと、からなる群から電気的化学的金属除去のための形態を選択すること;並びに
    (c)前記選択した形態において、前記貫通マスク金属特徴部から金属の一部分を電気的化学的に除去する一方で、前記金属の均一性を改善すること
    を含む方法。
  2. 請求項1に記載の方法であって、
    前記電気的化学的除去の間、参照電極を使用して電位を監視することを更に含む、方法。
  3. 請求項1に記載の方法であって、
    前記選択した形態は、前記臨界電位を下回る電気的エッチングである、方法。
  4. 請求項1に記載の方法であって、
    前記選択される形態は、前記臨界電位を上回る電気的研磨である、方法。
  5. 請求項1に記載の方法であって、
    前記選択される形態は、臨界電位を上回る電気的研磨が続くこととなる臨界電位を下回る電気的エッチングである、方法。
  6. 半導体基板を処理する方法であって、前記方法は、
    (a)露出金属を備える作用表面を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;
    (b)陽極側で前記半導体基板にバイアスをかけ、前記半導体基板の前記作用表面を電解質に浸漬すること;及び
    (c)臨界電位を下回る電気的エッチング形態で金属を除去し、その後、前記臨界電位を上回る電気的研磨形態で金属を除去することによって、前記金属の一部分を電気的化学的に除去する一方で、前記金属の均一性を改善すること
    を含む方法。
  7. 請求項6に記載の方法であって、
    前記除去される金属は、銅である、方法。
  8. 請求項6に記載の方法であって、
    前記除去される金属は、銅であり、
    銅は、銅電極に対して約0.1〜0.7Vの間の電位で電気的エッチングされ、その後、前記銅電極に対して約0.7〜2.0Vの間の電位で電気的研磨され、
    前記電気的研磨の間に使用される前記電位は、前記電気的エッチングの間に使用される前記電位を超える、方法。
  9. 請求項1に記載の方法であって、
    前記電気的化学的金属除去の間、前記半導体基板の前記作用表面に接触する電解質の横断流を、前記半導体基板を回転させる以外の方法によって、前記半導体基板の前記作用表面に実質的に平行である方向でもたらすことを更に含む、方法。
  10. 請求項1に記載の方法であって、
    前記改善される均一性は、ダイ内均一性と、ウエハ内均一性と、特徴部内均一性と、からなる群から選択される、方法。
  11. 半導体基板を処理する方法であって、
    前記方法は、
    (a)複数の貫通マスク金属特徴部を有する半導体基板を、電気的化学的金属除去のために構成した装置内に準備すること;
    (b)陽極側で前記半導体基板にバイアスをかけ、前記半導体基板の前記作用表面を電解質に浸漬すること;及び
    (c)個々の前記貫通マスク特徴部内の金属厚さのばらつきが低減するように、前記貫通マスク金属特徴部の金属の一部分を電気的化学的に除去すること
    を含む方法。
  12. 請求項11に記載の方法であって、
    前記除去される金属は、銅である、方法。
  13. 請求項11に記載の方法であって、
    前記電気的化学的金属除去の間、前記半導体基板の前記作用表面に接触する電解質の横断流を、前記半導体基板を回転させる以外の方法によって、前記半導体基板の前記作用表面に実質的に平行である方向でもたらすことを更に含む、方法。
  14. 請求項11に記載の方法であって、
    前記電気的化学的除去の間、参照電極を使用して、前記半導体基板に近接する電位を監視することを更に含む、方法。
  15. 請求項11に記載の方法であって、
    前記電解質溶液中の金属イオンの濃度を標的レベルで維持することを更に含み、前記金属イオンの濃度が、前記半導体基板から金属を電気的化学的に除去する過程の間、前記標的レベルから約5%を超えて変動しないようにする、方法。
  16. 半導体基板から金属を電気的化学的に除去する装置であって、
    (a)前記半導体基板から電気的化学的金属除去する間、陽極側でバイアスをかけられる半導体基板を収容するように構成した陽極室であって、流体を前記陽極室に導入する少なくとも1つの入口を有する陽極室と、
    (b)陰極を収容するように構成した陰極室であって、流体を前記陰極室に導入する少なくとも1つの入口を有する陰極室と、
    (c)前記陽極室と前記陰極室との間のイオン透過性分離器と、
    を備える、装置。
  17. 請求項16に記載の装置であって、
    前記陰極室への前記入口は、前記陰極に近接して配置され、前記陰極室は、前記イオン透過性分離器に近接して配置される出口を更に備える、装置。
  18. 請求項16に記載の装置であって、
    前記イオン透過性分離器は、前記陰極室から前記陽極室に水素気泡及び/又は金属粒子が移動するのを遮断又は阻止するように構成される、装置。
  19. 請求項16に記載の装置であって、
    陰極液再循環ループ及び陽極液再循環ループを更に備える、装置。
  20. 請求項16に記載の装置であって、
    複数の貫通孔を有する陰極を更に備え、
    前記装置は、前記陰極室内の少なくとも1つの前記入口から前記陰極室の前記孔を通じて前記流体を通すように構成される、装置。
  21. 半導体基板から金属を電気的化学的に除去する装置であって、
    (a)前記半導体基板から電気的化学的に金属を除去する間、電解質及び陰極を保持するように構成した容器と、
    (b)前記半導体基板を保持するように構成した半導体基板保持器であって、前記半導体基板の作用表面を前記電解質に浸漬し、前記半導体基板から金属を電気的化学的に除去する間、前記陰極から分離するようにする、半導体基板保持器と、
    (c)前記半導体基板の前記作用表面に接触する電解質の横断流を、前記半導体基板の前記作用表面に実質的に平行である方向でもたらすように構成した機構であって、前記半導体基板を回転させる機構とは異なる機構と、
    (d)前記半導体基板に近接する電位、又は同等の電位を測定する参照電極と、
    を備える、装置。
  22. 請求項21に記載の装置であって、
    前記電解質の横断流をもたらすように構成した前記機構は、前記電解質を前記容器内の入口に流入させ、前記電解質を前記容器内の出口から流出させる機構を備え、
    前記入口及び前記出口は、前記半導体基板の前記作用表面の外周位置の方位角的に対向する部分に近接して配置される、装置。
  23. 請求項21に記載の装置であって、
    前記電気的化学的金属除去の間、前記半導体基板の作用表面の約10mm内に配置されるように構成したイオン抵抗性イオン透過性要素を更に備える、装置。
  24. 請求項21に記載の装置であって、
    前記装置は、前記電気的化学的金属除去の間、前記半導体基板を回転させる機構を更に備える、装置。
  25. 請求項21に記載の装置であって、
    前記電解質の横断流をもたらすように構成した前記機構は、往復水掻き運動をもたらす機構を備える、装置。
  26. 電気的化学的金属除去のための陰極であって、
    (a)前記陰極の本体を通して電解質を通すように構成した複数の通路と、
    (b)前記陰極の縁部における複数の凹みと、
    を備え、
    前記陰極は、全体が円板形状の本体を有する、陰極。
  27. 請求項26に記載の陰極であって、
    前記陰極は、前記複数の通路に嵌合する複数の非導電性貫通管を備える、陰極。
  28. 請求項26に記載の陰極であって、
    前記陰極は、前記複数の通路に嵌合する複数の非導電性貫通管を備え、前記貫通管が、前記陰極の前記全体が円板形状の本体の上で少なくとも約1cmまで延在するようにする、陰極。
  29. 請求項25に記載の陰極であって、
    1つ又は複数のハンドルに取り付け可能であるように構成した複数の非導電性固定要素を更に備える、陰極。
  30. 請求項25に記載の陰極であって、
    前記固定要素は、前記陰極の前記全体が円板形状の本体上に、異なる径方向位置で配置される、陰極。
JP2020504240A 2017-07-28 2018-07-23 貫通マスク相互接続部の作製における電気的酸化金属除去 Pending JP2020529133A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762538202P 2017-07-28 2017-07-28
US62/538,202 2017-07-28
US16/040,407 US10692735B2 (en) 2017-07-28 2018-07-19 Electro-oxidative metal removal in through mask interconnect fabrication
US16/040,407 2018-07-19
PCT/US2018/043319 WO2019023141A1 (en) 2017-07-28 2018-07-23 REMOVAL OF AN ELECTRO-OXIDIZING METAL DURING THE MANUFACTURE OF A MASK INTERCONNECTION

Publications (1)

Publication Number Publication Date
JP2020529133A true JP2020529133A (ja) 2020-10-01

Family

ID=65038122

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020504240A Pending JP2020529133A (ja) 2017-07-28 2018-07-23 貫通マスク相互接続部の作製における電気的酸化金属除去

Country Status (7)

Country Link
US (2) US10692735B2 (ja)
EP (1) EP3659176A4 (ja)
JP (1) JP2020529133A (ja)
KR (1) KR20200024361A (ja)
CN (1) CN111149198B (ja)
TW (1) TWI810195B (ja)
WO (1) WO2019023141A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US11280021B2 (en) * 2018-04-19 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling chemical concentration in electrolyte and semiconductor apparatus
WO2021231143A1 (en) * 2020-05-15 2021-11-18 Lam Research Corporation Electro-oxidative metal removal accompanied by particle contamination mitigation in semiconductor processing
CN112410866B (zh) * 2020-11-19 2022-05-10 科凯(南通)生命科学有限公司 一种用于镍钛合金的电化学抛光液及抛光方法
US11447887B2 (en) 2020-12-10 2022-09-20 Saudi Arabian Oil Company Surface smoothing of copper by electropolishing
US11512400B2 (en) * 2020-12-10 2022-11-29 Saudi Arabian Oil Company Electrochemical reduction of carbon dioxide
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
CN117238781B (zh) * 2023-11-16 2024-02-23 江苏芯德半导体科技有限公司 一种晶圆级超薄四边无引脚芯片封装方法及芯片封装结构

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3458424A (en) * 1967-05-15 1969-07-29 Anocut Eng Co Electrochemical machining apparatus utilizing a mechanically deformable cathode
USH36H (en) 1981-10-13 1986-03-04 At&T Bell Laboratories Electroplating process with inert anodes
DE4229403C2 (de) 1992-09-03 1995-04-13 Hoellmueller Maschbau H Vorrichtung zum Galvanisieren dünner, ein- oder beidseits mit einer leitfähigen Beschichtung versehener Kunststoffolien
US5391271A (en) 1993-09-27 1995-02-21 Hughes Aircraft Company Method of monitoring acid concentration in plating baths
JP3186482B2 (ja) * 1994-12-27 2001-07-11 日産自動車株式会社 半導体基板の電解エッチング方法
US5858196A (en) 1996-01-31 1999-01-12 Kawasaki Steel Corporation Method of controlling component concentration of plating solution in continuous electroplating
JPH09223858A (ja) 1996-02-15 1997-08-26 Fujitsu Ltd プリント配線基板の製造方法
US7556722B2 (en) 1996-11-22 2009-07-07 Metzger Hubert F Electroplating apparatus
KR19990015599A (ko) 1997-08-07 1999-03-05 윤종용 무전해 도금을 이용한 반도체장치의 듀얼 다마슨금속 배선층 형성방법
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
IL139418A0 (en) 1998-05-16 2001-11-25 Blasberg Oberflaechentech Method for electro copperplating substrates
MY144574A (en) 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
US6709565B2 (en) 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US6315883B1 (en) 1998-10-26 2001-11-13 Novellus Systems, Inc. Electroplanarization of large and small damascene features using diffusion barriers and electropolishing
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6383917B1 (en) 1999-10-21 2002-05-07 Intel Corporation Method for making integrated circuits
US6231743B1 (en) 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6582281B2 (en) * 2000-03-23 2003-06-24 Micron Technology, Inc. Semiconductor processing methods of removing conductive material
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
TW571005B (en) 2000-06-29 2004-01-11 Ebara Corp Method and apparatus for forming copper interconnects, and polishing liquid and polishing method
US6802946B2 (en) * 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
JP2004519557A (ja) 2001-02-23 2004-07-02 株式会社荏原製作所 銅めっき液、めっき方法及びめっき装置
US7201829B2 (en) * 2001-03-01 2007-04-10 Novellus Systems, Inc. Mask plate design
JP2002270610A (ja) * 2001-03-13 2002-09-20 Hitachi Ltd 半導体装置の製造方法
US20020139684A1 (en) 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US6821409B2 (en) * 2001-04-06 2004-11-23 Asm-Nutool, Inc. Electroetching methods and systems using chemical and mechanical influence
US6800188B2 (en) 2001-05-09 2004-10-05 Ebara-Udylite Co., Ltd. Copper plating bath and plating method for substrate using the copper plating bath
ITMI20011374A1 (it) 2001-06-29 2002-12-29 De Nora Elettrodi Spa Cella di elettrolisi per il ripristino della concentrazione di ioni metallici in processi di elettrodeposizione
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
JP2003113479A (ja) 2001-10-04 2003-04-18 Chang Chun Petrochemical Co Ltd 集積回路の銅インタコネクション晶種層の形成方法
JP3695703B2 (ja) 2001-10-25 2005-09-14 株式会社日立製作所 電気めっき方法、電気めっき装置及び半導体装置の製造方法及び製造装置
US6815354B2 (en) 2001-10-27 2004-11-09 Nutool, Inc. Method and structure for thru-mask contact electrodeposition
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
JP2003293193A (ja) 2002-04-02 2003-10-15 Nec Electronics Corp 微細回路配線形成方法およびこれに用いる装置
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
EP1512173A1 (en) 2002-05-16 2005-03-09 National University Of Singapore Wafer level electroless copper metallization and bumping process, and plating solutions for semiconductor wafer and microchip
JP3819840B2 (ja) 2002-07-17 2006-09-13 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
EP1574600A4 (en) 2002-10-11 2006-11-15 Electroplating Eng MUG METAL SEPARATION DEVICE
CN1314838C (zh) 2002-12-11 2007-05-09 财团法人工业技术研究院 高高温伸长率电解铜箔的制造方法
US6914002B2 (en) 2002-12-28 2005-07-05 Intel Corporation Differential planarization
DE10300597A1 (de) * 2003-01-10 2004-07-22 Eilenburger Elektrolyse- Und Umwelttechnik Gmbh Verfahren und Vorrichtung zur vollständigen Regenerierung von Metallchlorid-Ätzlösungen für Kupferwerkstoffe
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050082172A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Copper replenishment for copper plating with insoluble anode
US7405157B1 (en) 2003-11-10 2008-07-29 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7794573B2 (en) 2003-12-05 2010-09-14 Semitool, Inc. Systems and methods for electrochemically processing microfeature workpieces
JP4540981B2 (ja) 2003-12-25 2010-09-08 株式会社荏原製作所 めっき方法
TWI320062B (en) 2004-03-31 2010-02-01 Composition for copper electroplating solution
CN101120433B (zh) 2004-06-04 2010-12-08 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法
CN1290160C (zh) 2004-09-24 2006-12-13 清华大学 分离双电极酸性化学镀制备集成电路铜互连线的金属化方法
CN1773675A (zh) 2004-11-10 2006-05-17 北京大学 射频电感的制备方法
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7435393B2 (en) 2005-05-05 2008-10-14 Eastman Chemical Company Baffle assembly module for vertical staged polymerization reactors
JP2007051362A (ja) 2005-07-19 2007-03-01 Ebara Corp めっき装置及びめっき液の管理方法
US7531099B1 (en) 2005-10-17 2009-05-12 Process Equipment & Service Company, Inc. Water surge interface slot for three phase separator
US7631423B2 (en) 2006-02-13 2009-12-15 Sanmina-Sci Corporation Method and process for embedding electrically conductive elements in a dielectric layer
US7396430B2 (en) * 2006-03-31 2008-07-08 Lam Research Corporation Apparatus and method for confined area planarization
US7743783B2 (en) 2006-04-04 2010-06-29 Air Liquide Electronics U.S. Lp Method and apparatus for recycling process fluids
US9045840B2 (en) * 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8128791B1 (en) 2006-10-30 2012-03-06 Novellus Systems, Inc. Control of electrolyte composition in a copper electroplating apparatus
US20080217183A1 (en) 2007-03-09 2008-09-11 Sriram Muthukumar Electropolishing metal features on a semiconductor wafer
TWI341554B (en) 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
US20090038947A1 (en) 2007-08-07 2009-02-12 Emat Technology, Llc. Electroplating aqueous solution and method of making and using same
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US20110017608A1 (en) * 2009-07-27 2011-01-27 Faraday Technology, Inc. Electrochemical etching and polishing of conductive substrates
US10472730B2 (en) 2009-10-12 2019-11-12 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US9109295B2 (en) 2009-10-12 2015-08-18 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
JP5106523B2 (ja) * 2009-12-16 2012-12-26 株式会社東芝 エッチング処理方法、微細構造体の製造方法、およびエッチング処理装置
US8535504B2 (en) 2010-05-03 2013-09-17 Eci Technology, Inc. Analysis of an auxiliary leveler additive in an acid copper plating bath
CN102286760B (zh) * 2010-05-19 2016-10-05 诺发系统有限公司 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9062388B2 (en) * 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
KR20120036030A (ko) 2010-10-07 2012-04-17 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
KR20120003405U (ko) 2010-11-08 2012-05-16 박수환 안전화 밑창
US20120175262A1 (en) 2011-01-10 2012-07-12 EncoreSolar, Inc. Method and apparatus for electrodeposition of group iib-via compound layers
SA112330516B1 (ar) * 2011-05-19 2016-02-22 كاليرا كوربوريشن انظمة وطرق هيدروكسيد كهروكيميائية مستخدمة لأكسدة المعدن
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US20130087463A1 (en) 2011-10-05 2013-04-11 Globalfoundries Inc. Method and System for Metal Deposition in Semiconductor Processing
CN202465938U (zh) 2011-12-14 2012-10-03 福建通途金属结构有限公司 一种电沉积装置
CN102732888A (zh) * 2012-07-19 2012-10-17 湖南万容科技股份有限公司 酸性蚀刻废液的再生回收方法及系统
CN102995096A (zh) 2012-11-05 2013-03-27 江苏三鑫电子有限公司 一种电镀液自动加药系统及其自动加药方法
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9758893B2 (en) 2014-02-07 2017-09-12 Applied Materials, Inc. Electroplating methods for semiconductor substrates
CN104060319B (zh) 2014-07-01 2016-08-31 金华市恒飞电工材料有限公司 一种铜离子浓度自动平衡控制装置及其控制方法
US9567685B2 (en) * 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) * 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication

Also Published As

Publication number Publication date
US20200279754A1 (en) 2020-09-03
US11610782B2 (en) 2023-03-21
EP3659176A1 (en) 2020-06-03
EP3659176A4 (en) 2021-07-21
TWI810195B (zh) 2023-08-01
US20190035640A1 (en) 2019-01-31
TW201919150A (zh) 2019-05-16
CN111149198A (zh) 2020-05-12
KR20200024361A (ko) 2020-03-06
US10692735B2 (en) 2020-06-23
WO2019023141A1 (en) 2019-01-31
CN111149198B (zh) 2024-04-26

Similar Documents

Publication Publication Date Title
US11610782B2 (en) Electro-oxidative metal removal in through mask interconnect fabrication
JP6832067B2 (ja) シリコン貫通ビア内への銅の電着のための、ニッケルライナおよびコバルトライナの前処理
US20220018036A1 (en) Low temperature direct copper-copper bonding
KR102147003B1 (ko) 전기도금 용액 내에서의 평탄화제 농도 모니터링
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US10774438B2 (en) Monitoring electrolytes during electroplating
JP2008500455A (ja) 電気めっき浴の化学的性質の制御方法
US20230212773A1 (en) Surface pretreatment for electroplating nanotwinned copper
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
US20220275531A1 (en) Differential contrast plating for advanced packaging applications
US20230230847A1 (en) Electro-oxidative metal removal accompanied by particle contamination mitigation in semiconductor processing
US10508351B2 (en) Layer-by-layer deposition using hydrogen
JP2023510776A (ja) Tsv処理窓ならびに長いパルス出力および傾斜部形成による充填性能強化
TWI841618B (zh) 奈米雙晶銅結構的電沉積
KR20240021678A (ko) 다이 레벨 전착 두께 분포 제어를 위한 마이크로 불활성 애노드 어레이