CN111149198B - 通掩模互联制造中的电氧化金属去除 - Google Patents

通掩模互联制造中的电氧化金属去除 Download PDF

Info

Publication number
CN111149198B
CN111149198B CN201880062982.3A CN201880062982A CN111149198B CN 111149198 B CN111149198 B CN 111149198B CN 201880062982 A CN201880062982 A CN 201880062982A CN 111149198 B CN111149198 B CN 111149198B
Authority
CN
China
Prior art keywords
semiconductor substrate
electrolyte
metal
working surface
cathode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880062982.3A
Other languages
English (en)
Other versions
CN111149198A (zh
Inventor
卡利·托尔凯尔森
理查德·G·亚伯拉罕
史蒂文·T·迈耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111149198A publication Critical patent/CN111149198A/zh
Application granted granted Critical
Publication of CN111149198B publication Critical patent/CN111149198B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • C25F3/14Etching locally
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/22Polishing of heavy metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02321Reworking

Abstract

在一种实现方式中,晶片处理方法包括用金属填充多个通抗蚀剂凹陷特征,使得第一特征的填充速率与第二特征的填充速率之比为R1;然后电化学去除金属,使得从第一特征去除金属的速率与从第二特征去除金属的速率之比大于R1,从而改善填充的均匀性。在一些实施方案中,该方法包括使阳极偏置的衬底与电解液接触,以使得电解液在实质上平行于衬底的工作表面的方向上具有横向流动分量。该方法可以在配置成用于在衬底的表面处产生横流的设备中实现。在一些实现方式中,该方法利用不同的电化学状态来实现均匀性的改善。

Description

通掩模互联制造中的电氧化金属去除
相关申请的交叉引用
本申请要求于2018年7月19日提交的、名称为“Electro-Oxidative MetalRemoval in Through Mask Interconnect Fabrication”的、命名Thorkelsson等人为发明人的美国专利申请序列No.16/040,407的优先权,以及2017年7月28日提交的、名称为“Electro-Oxidative Method and Apparatus for Improving Through MaskInterconnect Uniformity”的、命名Mayer等人作为发明人的美国临时专利申请No.62/538,202的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及利用电化学金属去除来改善金属层的均匀度的设备与方法。在一实现方式中,本发明涉及晶片内均匀度、管芯(die)内均匀度和/或通掩模电镀特征的特征内均匀度的改善设备与方法。
背景技术
通掩模电镀为在半导体装置制造中于多个处理方案中形成金属凸块和柱的方法。使用通掩模电镀的标准处理中的一者涉及下列步骤。首先,以薄导电晶种层材料(如Cu或Ni晶种层)覆盖衬底(如具有平坦裸露表面的半导体衬底),其中薄导电晶种层材料可以任何合适的方法沉积,例如物理气相沉积(PVD)。接下来,将非导电性的掩模层,如光致抗蚀剂,沉积在晶种层上,然后对其进行图案化以定义凹陷特征,其中图案化裸露了每一凹陷特征的底部处的晶种层。在图案化后,衬底的裸露表面包含在场区域中的非导电性掩模的部分及凹陷特征的底部部分处的导电晶种层。
接下来,进行通掩模电镀(或在光致抗蚀剂的情况中进行通光致抗蚀剂电镀)。在通光致抗蚀剂电镀中,衬底被置于电镀设备中以对晶种层建立电接触,大部分通常位于衬底的外缘处。设备容纳阳极及包含将电镀的一或多种金属的离子的电解液。衬底被阴极偏置作用并被浸没于电解液中,其中如方程式(1)中所示来自电解液的金属离子在衬底表面处被还原,其中M为金属(如铜),而n为在还原期间被转移的电子数。
Mn++ne→M0 (1)
由于导电晶种层仅于凹陷特征的底部处暴露,因此电化学沉积仅在凹陷特征内发生而(在凹陷特征填满金属之前)不会在场(field)上发生,这导致嵌入光致抗蚀剂层中的许多金属填充的凹陷。
在电镀后,通过例如传统的湿式或干式剥除方法去除掩模,由此提供具有许多独立的金属凸块或柱的衬底。
文中的背景技术段落的目的在于大致上呈现本发明的背景。在此先前技术段落中所提及的本发明人的工作以及在申请时不能算作是现有技术的说明既不明示也不暗示其为针对本发明的现有技术。
发明内容
提供了一种方法及设备,利用电氧化处理改善半导体衬底上的金属层的均匀度,尤其是改善填充金属的通掩模特征的均匀度。文中所提供的方法及设备能大幅减少横跨整个晶片、横跨管芯和/或填充金属的特征内的金属厚度变化性。此外,在某些实施方案中,对于获得具有目标均匀度的金属层的目的而言,如文中所述进行金属电沉积再进行电平坦化的工具的生产率可比被配置成仅用于电沉积的工具的生产率大1.5-2倍。在某些实施方案中,电平坦化可以至少约2微米/分钟(如介于约5-10微米/分钟)的快速金属去除速率进行且可在沉积速率至少约1微米/分钟(如介于约2-3微米/分钟)的相对快速的金属电沉积之后进行。
在一方面中,提供一种半导体衬底的处理方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中该工作表面包含被暴露的金属(如铜);(b)阳极化偏置该半导体衬底并将该半导体衬底的工作表面浸没至电解液中;(c)通过非半导体衬底旋转的方法沿着实质上平行于该半导体衬底的工作表面的方向提供与该半导体衬底的工作表面接触的电解液横流的同时,电化学去除被暴露的该金属的一部分并改善该金属的均匀度。在某些实施方案中,旋转可对该电解液流有所贡献,但该电解液流的至少某些部分利用非旋转的方法产生。在某些实施方案中,针对该电化学金属去除的时间的至少50%提供该电解液横流。
在某些实施方案中,在(a)中所提供的该半导体衬底的工作表面还包含被暴露的介电材料,且被暴露的该金属包含通过在被暴露的该介电材料下方的导电层(如金属晶种层)所连接的多个被暴露的金属特征(如通掩模的特征)。例如,在(a)中所提供的该半导体衬底的工作表面可包含被暴露的光致抗蚀剂(如被暴露的介电材料)且该衬底可包含多个已填充铜的特征,该多个已填充铜的特征具有至少约1:1的深宽比(该深度包含特征的已填充及未填充部分)并通过在被暴露的该光致抗蚀剂下方的导电层所连接,其中该多个已填充铜的特征被铜填充到至少约50%。
由所提供的该电化学金属去除所改善的该均匀度可包含晶片内均匀度、管芯内均匀度、及特征内的均匀度中的一或多者。在某些实施方案中,该电化学金属去除改善管芯内均匀度与特征内均匀度二者。
在一实施方案中,提供该电解液横流的步骤包含使该电解液流经该设备的入口并流出该设备的出口,其中该入口与该出口设置于该半导体衬底的工作表面的方位角相对的周长位置附近。在某些实施方案中,提供该电解液横流的步骤包含以往复桨动作(paddlemotion)形成该横流。在某些实施方案中,提供该电解液横流的步骤包含将电解流自实质上垂直于该半导体衬底的工作表面的方向的冲击流转移至该横流。在某些实施方案中,该电解液横流在具有10mm或更小的宽度的间隙中提供,其中该间隙介于离子阻性离子可渗透的组件与该衬底的工作表面之间。在一实施方案中,该间隙具有侧壁、入口及出口,且该电解液在该间隙中自该入口沿着实质上平行该衬底的工作表面的方向流至该出口。
优选地,该电解液横流具有横跨该衬底的工作表面的中心点至少约3cm/秒(如至少约10cm/秒)的速度(在靠近该衬底中心附近该电解液中沿着横跨该衬底中心并平行该衬底的工作表面的方向)。在某些实施方案中,该方法更涉及在该电化学金属去除期间以介于约1至30rpm的旋转速率旋转该半导体衬底。
在某些实施方案中,该方法涉及处理具有多个通掩模的特征的衬底,且该方法涉及:在(a)以及该电化学金属去除之前电镀金属至多个凹陷特征中至一定厚度,该厚度比在(c)后该电化学金属去除后所获得的金属的目标厚度至少厚10%。在某些实施方案中,将该金属电镀至该多个凹陷特征至一定厚度,该厚度比该目标厚度高约20-30%。在某些实施方案中,电镀在不同于用于该电化学金属去除中所使用的该电解液的电解液中进行,且在某些实施方案中,该电镀与该电化学金属去除于不同的池中进行。
在许多实施方案中,该电化学金属去除优选使用黏性的水基或含水电解液。例如,在某些实施方案中,该电解液为黏度至少约4厘泊,如至少约7厘泊的导电黏性液体。在包含黏性电解液的某些实例中,该电解液包含水及浓缩的黏性酸,如磷酸和/或1-羟基亚乙基-1,1二膦酸(HEDP)。在某些实施方案中,该电解液被配置以使其能形成能抵抗形成沉淀物的金属盐的高过饱和溶液。当在长久且缓慢的处理后在此类电解液中确实形成沉淀物,该沉淀物具有非晶和/或水合材料的微细粒子形式而非大结晶的形式。在某些实施方案中,在该电化学金属去除中初始针对将该半导体衬底浸没所提供的该电解液包含:在该衬底的工作表面上所裸露的相同金属的金属离子。
在某些实施方案中,包含多个通掩模的凹陷特征的衬底通过如下方式处理:首先利用电镀电解液将金属电镀至该半导体衬底上的多个凹陷特征中,其中该电镀电解液包含金属盐、酸及选自由整平剂与抑制剂所组成的群组中的至少一电镀添加物;然后如文中所述进行电化学去除金属并改善均匀度,其中在该电化学金属去除期间所用的电解液不同于该电镀电解液且具有至少约4厘泊的黏度。在某些实施方案中,该电化学金属去除所用的电解液黏度比该电镀用的电解液黏度至少大2倍。在某些实施方案中,该电化学金属去除用的该电解液并无电化学抑制剂及整平剂。
在某些实施方案中,电化学去除被暴露的金属的一部分包含:(i)从由低于临界电位的电蚀刻、高于该临界电位的电抛光、以及后接高于该临界电位的电抛光的低于该临界电位的电蚀刻所组成的群组,针对该电化学金属去除选择状态;以及(ii)在该选定的状态中电化学去除该金属的一部分以改善该金属的该均匀度。电化学去除被暴露的该金属的该部分优选包含利用参考电极监测靠近该半导体衬底的电位。
在某些实施方案中,电化学去除被暴露的金属的部分的步骤包含将该电解质溶液中的该金属离子的浓度维持在目标水平,以使在自该衬底电化学去除该金属的过程期间该金属离子的浓度波动离该目标水平不会超过约5%。在某些实施方案中,该方法还包含,在自衬底电化学金属去除后,在相同的电化学金属去除设备中依序自多个半导体衬底电化学去除金属,并同时改善金属均匀度以及将该电解质溶液中的金属离子的浓度维持在目标水平,使得在从该多个衬底电化学去除金属的过程期间该金属离子的浓度不会波动离该目标水平超过约5%。
待去除的该金属的扩散系数为用以决定大致行为及用以控制文中所述的电化学金属去除处理的重要参数之一。扩散系数会影响金属的去除速率及电抛光过渡的电位。如下面将更详细说明的,斯托克-爱因斯坦方程式显示的离子的扩散系数及溶液黏度为强相关的。从该关系可见,黏度和扩散率之间大致上呈逆相关。例如,增加黏度会造成扩散率减少。扩散系数(及相关的离子物质迁移率)为决定的特征内及质量传输边界层中的扩散质量传输的重要理化特性。黏度也是决定电解液流速度的分布与强度的理化特性,因此对于决定质量传输边界层的尺寸及特征内的液流强度以及往返经历处理的晶片的一般质量传输对流而言是重要的。
在某些实施方案中,该电化学金属去除包含控制该电解液黏度,该电解液黏度为此处理中的关键理化特性中的一种。在某些实施方案中,黏度控制通过下列方式进行:直接测量黏度(例如利用黏度仪)及调整黏度(例如通过添加或去除电解液流体)。在某些实施方案中,黏度通过下列方式控制:测量与黏度相关且可轻易可靠地监测的其他宏观变量。此类宏观特性包含但不限于溶液导电度、密度、光吸收、可见光谱、UV光谱、IR光谱、溶液折射率或音速。测量到的特性可与黏度相关联,或在每一测量后不进行与黏度的关联的情况下维持测量到的数值接近目标值。在某些实施方案中,可使用这些方案的组合或两者。在某些实施方案中,电解液控制方法包含测量每一电解液物质的浓度并将其数值维持在目标值(如该目标值的约10%内或约5%内),使得黏度适合于目标。在某些实施方案中,电化学去除被暴露的该金属的部分包含将该电解质溶液的黏度维持在目标水平,使得在从该衬底电化学去除金属的过程期间该黏度不会波动离该目标水平超过预定量。该黏度取决于该电解质溶液的水含量、酸含量、和/或金属离子含量。较多水倾向于减少黏度,但较多的酸或金属倾向于增加黏度。在该电解液黏度低的某些实施方案中,该方法涉及去除水(如通过蒸发)以将黏度恢复至目标水平。在某些情况中,该电解液黏度通过下列方式维持:使所有成分的浓度随时间维持常数(例如通过替换浓度低于该目标浓度的电解液成分、以及通过利用成分浓度落在目标规格内的电解液替换具有偏离目标特性的电解液)。在其他的情况中,可利用两种分开的溶液添加酸和/或金属离子以维持该电解液黏度,其中第一溶液比第二溶液具有较高的酸浓度,且第二溶液比第一溶液具有较高的金属离子浓度。在电化学金属去除期间所用的阴极材料及金属去除条件,在某些实施方案中会导致在电化学金属去除期间于阴极处产生氢气(H2)。在某些实施方案中,阴极材料及金属去除条件会因为金属离子从电解液还原导致金属电沉积在电极上。在某些实施方案中,在衬底电化学金属去除的期间会存在H2在阴极处生成以及金属电沉积至阴极上两种现象。在一实施方案中,被阳极化偏置的衬底以及阴极通过离子可透过的分离件所分离,该离子可透过的分离件能避免在阴极处所产生的氢气气泡和/或金属粒子接触衬底。在某些实施方案中,电化学去除金属的部分的步骤包含在电化学金属去除设备的阴极处产生H2并从电解液分离H2而不允许H2接触半导体衬底。在一实施方案中,电化学金属去除设备包含离子可透过的分离件,该离子可透过的分离件被配置成用以阻挡H2气泡从阴极室移动至阳极室。在某些实施方案中,该方法还包含在静止室(stilling chamber)中以惰性气体稀释H2并自该静止室去除经稀释的H2。一实施方案包含在该电化学金属去除期间利用一或多个再循环回路再循环电解液,其中该一或多个再循环回路包含被配置成用于将氢气气泡自电解液分离的静止室,以及电解液储槽。在一实例中,该静止室与该储槽位于一组件中。
在某些实施方案中,从被阳极化偏置的衬底电化学去除金属的一部分的步骤包含从含金属的电解液将金属电沉积至阴极上。例如,当从衬底去除铜时,可从电解液将铜电沉积至阴极上。在涉及将金属电沉积至阴极上的某些实施方案中,设备还在被阳极化偏置的衬底与阴极之间使用离子可透过的分离件,产生阳极室与阴极室。设备在一实施方案中被配置成用于引导电解液流流经阴极或在阴极附近流动并用于阻挡粒子到达晶片衬底。粒子由离子导电隔膜(或当被电解液润湿时变得离子导电的隔膜)所阻挡,离子导电隔膜有助于引导流体通过阴极室的一出口而流出阴极室和/或流经隔膜。在一实施方案中,所提供的方法还包含:在电化学金属去除期间利用一或多个再循环回路再循环电解液,其中一或多个再循环回路包含一外部浴储槽、泵、过滤器以及任选地除气设备(用于去除如氮气及氧气之类的已溶解的气体的设备)。
所提供的方法可用于改善数个衬底上的均匀度,但尤其有助于具有不同特征密度的区域的衬底以及具有不同深宽比和/或宽度的特征的衬底。在一实施方案中,在(a)中所提供的半导体衬底包含多个通掩模的特征,其中衬底包含至少一孤立特征及至少两个致密特征,其中第一致密特征是以第一距离与靠其最近的特征分离,且第一孤立特征是以第二距离与靠其最近的特征分离,第二距离比第一距离大至少两倍。在一实施方案中,在(a)中所提供的半导体衬底包含多个通掩模的特征且包含具有第一宽度的第一通掩模特征及具有不同的第二宽度的第二通掩模特征。在一实施方案中,在(a)中所提供的半导体衬底包含多个通掩模的特征且包含具有第一深宽比的凹陷的第一通掩模特征及具有不同的第二深宽比的凹陷的第二通掩模特征。在一实例中,凹陷的深宽比之间的差异为有效深宽比之间的差异,有效深宽比之间的差异因多个下层中的形貌变化而获得。在某些实施方案中,在(a)中所提供的半导体衬底包含多个通掩模的特征且包含具有第一宽度与第一深宽比的凹陷的第一通掩模特征、具有第二宽度与第二深宽比的凹陷的第二通掩模特征、具有第三宽度与第三深宽比的凹陷的第三通掩模特征以及具有第四宽度与第四深宽比的凹陷的第四通掩模特征,其中第二宽度不同于第一宽度且凹陷的第四深宽比不同于凹陷的第三深宽比。
在另一方面中,提供了一种半导体衬底的处理方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含多个通掩模金属特征;(b)针对电化学金属去除,从由低于临界电位的电蚀刻、高于临界电位的电抛光以及低于临界电位的电蚀刻且后接高于临界电位的电抛光组成的群组中选择状态;以及(c)在选定的状态中从多个通掩模金属特征电化学去除金属的一部分并同时改善金属的均匀度。
在另一方面中,提供一种半导体衬底的处理方法,其中方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含被暴露的金属;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解液中;以及(c)通过在低于临界电位的电蚀刻状态中去除金属,接着通过在高于临界电位的电抛光状态中去除金属,电化学去除金属的一部分并且同时改善金属的均匀度。在一实施方案中,金属为铜,并且电化学金属去除包含在介于约0.1V-0.7V的电位下的电蚀刻,后接在介于约0.7V-2.0V的电位下的电抛光,其中电位相对于铜参考电极测量,且其中在电抛光期间所用的电位高于在电蚀刻期间所用的电位。
在另一方面中,提供了一种处理一或多个半导体衬底的方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含被暴露的金属;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解质溶液中;(c)通过将金属电化学溶解至电解质溶液中而从半导体衬底电化学去除金属的至少一部分并同时改善金属的均匀度;以及(d)将电解质溶液中的金属离子的浓度维持在目标水平,使得在从衬底电化学去除金属的过程期间金属离子的浓度不会波动至离目标水平超过约5%。
在另一方面中,提供了一种处理一或多个半导体衬底的方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含被暴露的金属;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解质溶液中;(c)通过将金属电化学溶解至电解质溶液中而从半导体衬底电化学去除金属的至少一部分并同时改善金属的均匀度;以及(d)将电解质溶液的黏度维持在目标水平,使得在从衬底电化学去除金属的过程期间电解质溶液的黏度不会波动至离目标水平超过预定量。
在另一方面中,提供了一种处理一或多个半导体衬底的方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含被暴露的金属;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解液中,其中电解液包含将从半导体衬底去除的相同金属的离子,离子的浓度至少约10g/L;以及(c)通过将金属电化学溶解至电解质溶液中而从半导体衬底电化学去除金属的一部分并同时改善金属的均匀度。
在另一方面中,提供一种一或多片半导体衬底的处理方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含被暴露的金属;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解液中;以及(c)从半导体衬底电化学去除金属的一部分并同时改善金属的均匀度,且同时在电化学金属去除设备的阴极处产生H2并同时阻挡H2气泡接触被阳极化偏置的半导体衬底。
在另一方面中,提供了一种处理一或多个半导体衬底的方法,其中该方法包含:(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中工作表面包含被暴露的金属;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解液中;以及(c)从半导体衬底电化学去除金属的一部分并同时改善金属的均匀度,其中设备包含容纳被阳极化偏置的衬底的阳极室及容纳阴极的阴极室,其中阳极室与阴极室通过离子可透过的隔膜而彼此分离。在一实施方案中,方法包含当自衬底电化学去除金属时同时将金属电沉积至阴极上。在另一方面中,提供一种半导体衬底的处理方法,其中该方法包含:(a)将具有多个通掩模金属特征的半导体衬底提供至被配置成用于电化学金属去除的设备中;(b)阳极化偏置半导体衬底并将半导体衬底的工作表面浸没至电解液中;(c)从多个通掩模金属特征去除金属的一部分,使得各个通掩模特征内的金属厚度变化减少。
在另一方面中,提供了一种处理半导体衬底的方法,其中该方法包含:(a)将具有多个通掩模凹陷特征及在每一通掩模金属特征的底部处具有裸露导电晶种层的半导体衬底提供至电镀设备中;(b)在电镀设备中利用包含金属盐、酸与一或多种被配置为改善电镀的均匀度的电镀添加物的电解液,将多个通掩模凹陷特征以金属至少部分地填充,其中第一通掩模特征中的填充速率与第二通掩模特征中的填充速率之间的比值为R1;(c)将衬底传送至被配置成用于电化学金属去除的设备;以及(d)通过在电解液中从多个通掩模金属特征电化学去除金属的一部分而改善已经电镀的金属的均匀度,电解液具有至少约4厘泊的黏度且不同于电镀电解液,其中第一通掩模特征中的电化学金属去除速率与第二通掩模特征中的电化学金属去除速率的比值大于R1。
所提供的方法可与用于图案化半导体衬底上的层的光刻技术整合。在某些实施方案中,所提供的方法还包含:涂布光致抗蚀剂至半导体衬底上;使光致抗蚀剂曝光;图案化光致抗蚀剂并将图案转移至半导体衬底;以及选择性从半导体衬底去除光致抗蚀剂。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)容器,其被配置为在从半导体衬底电化学去除金属期间容纳电解液与阴极;(b)半导体衬底支撑件,其被配置成支撑半导体衬底,使得在从半导体衬底电化学去除金属期间半导体衬底的工作表面浸没于电解液中并与阴极分离;(c)被配置成提供沿着实质上平行半导体衬底的工作表面的方向与半导体衬底的工作表面接触的电解液横流的机构,其中机构不同于用于旋转半导体衬底的机构;以及(d)用于测量靠近半导体衬底的电位或等效电位的参考电极。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)容器,其被配置为在从被阳极化偏置的半导体衬底电化学去除金属期间容纳电解液与氢气生成阴极,容器包含被配置为容纳被阳极化偏置的半导体衬底的阳极室以及被配置为容纳氢气生成阴极的阴极室;(b)介于阳极室与阴极室之间的离子可透过的分离件,其中离子可透过的分离件被配置为抑制或避免氢气气泡自阴极室转移至阳极室;(c)半导体衬底支撑件,其被配置为支撑被阳极化偏置的半导体衬底,使得在从半导体衬底电化学去除金属期间半导体衬底的工作表面浸没于电解液中并与气体生成阴极分离;以及(d)与阴极室流体连接的静止室,其中静止室其被配置为从阴极室接收一阴极电解液及使氢气气泡自所接收的电解液分离并去除氢气气泡。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)阳极室,其在从半导体衬底电化学去除金属期间用于容纳被阳极化偏置的半导体衬底,其中阳极室具有用于将流体引导至阳极室的至少一入口;(b)阴极室,其被配置为容纳阴极,其中阴极室具有被配置为将流体引导至阴极室的至少一入口;以及(c)介于阳极室与阴极室之间的离子可透过的分离件。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)容器,其被配置为在从被阳极化偏置的半导体衬底电化学去除金属期间容纳电解液与阴极衬底,该容器包含被配置为容纳被阳极化偏置的半导体衬底的阳极室以及被配置为容纳阴极的阴极室,从半导体衬底去除的相同金属物质被电沉积至阴极上;(b)介于阳极室与阴极室之间的离子可透过的分离件;(c)半导体衬底支撑件,其被配置为支撑被阳极化偏置的半导体衬底,使得在从半导体衬底电化学去除金属期间半导体衬底的工作表面浸没于电解液中并与阴极分离;以及(d)电解液再循环回路,其与阴极室呈流体连接,该电解液再循环回路被配置为从阴极室接收阴极电解液并过滤阴极电解液。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)容器,其被配置为在从半导体衬底电化学去除金属期间容纳电解液与阴极,该容器包含被配置为容纳半导体衬底的阳极室及被配置为容纳阴极的阴极室,其中该设备被配置为在电化学去除金属期间阳极化偏置半导体衬底;(b)介于阳极室与阴极室之间的离子可透过的分离件;以及(c)半导体衬底支撑件,其被配置为支撑被阳极化偏置的半导体衬底,使得在从半导体衬底电化学去除金属期间半导体衬底的工作表面浸没于电解液中并与气体生成阴极分离;其中设备被配置为经由阴极室中的入口将流体添加至阴极室。在某些实施方案中,阴极为氢气生成阴极,且离子可透过的分离件阻挡氢气与衬底接触。在某些实施方案中,离子可透过的分离件阻挡可在阴极处产生的粒子与衬底接触。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)容器,其被配置为在从半导体衬底电化学去除金属期间容纳电解液与阴极,该容器包含被配置为容纳半导体衬底的阳极室及被配置为容纳阴极的阴极室,其中该设备被配置为在电化学去除金属期间阳极化偏置半导体衬底;(b)介于阳极室与阴极室之间的离子可透过的分离件;(c)半导体衬底支撑件,其被配置为支撑被阳极化偏置的半导体衬底,使得在从半导体衬底电化学去除金属期间半导体衬底的工作表面浸没于电解液中并与气体生成阴极分离;其中该设备包含阳极电解液再循环回路与阴极电解液再循环回路,该阳极电解液再循环回路与阴极电解液再循环回路具有共享部分,其中该设备被配置为从阳极电解液再循环回路与阴极电解液再循环回路的共享部分分开输送多个经定义量的电解液至阳极室与阴极室。在另一实施方案中,阳极电解液再循环回路与阴极电解液再循环回路具有共享部分,但该设备被配置为从阳极电解液再循环回路与阴极电解液再循环回路的非共享部分分开输送多个经定义量的电解液至阳极室与阴极室。例如,阳极电解液再循环回路可具有与阳极电解液室流体连接的专用的电解液储槽,且阴极电解液再循环回路可具有与阴极电解液室流体连接的分离的电解液储槽。但在其他实施方案中,阳极电解液再循环回路与阴极电解液再循环回路不具有共享部分。
在另一方面中,提供一种从半导体衬底电化学去除金属的设备,其中该设备包含:(a)容器,其被配置为在从半导体衬底电化学去除金属期间容纳电解液与阴极,其中该设备被配置为在电化学去除金属期间阳极化偏置半导体衬底;(b)半导体衬底支撑件,其被配置为支撑半导体衬底使得在从半导体衬底电化学去除金属期间半导体衬底的工作表面浸没于电解液中并与阴极分离;(c)在容器中用于将稀释剂添加至容器的入口;(d)在容器中用于从容器去除电解液的出口;以及(e)至少两个测量装置,其用于在电化学金属去除期间测量电解液中的金属离子的浓度与酸的浓度。
在另一方面中,提供一种设备,其中该设备包含被配置成用于电化学金属去除的一容器以及包含用于执行文中所提供的任何方法的程序指令的控制器。
在另一方面中,提供一种系统,其中该系统包含文中所提供的任何设备以及步进机。
在另一方面中,提供一种系统,其中该系统包含电镀设备以及文中所述的用于任何电化学金属去除的设备。
在另一方面中,提供一种非瞬变计算机可读介质,其包含用于执行文中所提供的任何方法的程序代码。
在另一方面中,提供一种用于电化学金属去除的阴极,其中该阴极包含:(a)多个通道,该多个通道被配置为使电解液流过阴极的主体;以及(b)在阴极的边缘处的多个凹口。在某些实施方案中,阴极具有大致碟形的主体。在某些实施方案中,多个通道与在阴极之导电表面上方延伸的非导电性管适配。
在另一方面中,提供了一种用于电化学金属去除的阴极,其中该阴极具有大致上为碟形的主体且在阴极的导电表面上包含多个非导电性的固定组件,其中多个固定组件被配置为可附接至一或多个柄。在金属被电沉积至阴极的表面上后可轻易从设备去除此类阴极。在某些实施方案中,多个固定组件被设置在大致上为碟形的阴极的不同径向位置处。
在另一方面中,提供一种用于电化学金属去除的设备,其中设备包含支撑如文中所述的阴极的容器。
在另一方面中,提供一种用于电化学金属去除的电解液的制作方法。在某些实施方案中,该方法涉及将金属氧化物和/或金属氢氧化物溶解于磷酸中;接着将溶解金属氧化物和/或金属氢氧化物后所获得的溶液与较浓的磷酸结合,形成黏度至少约4厘泊的电解液。下面将参考相关附图更详细说明本发明的这些与其他特征以及优点。
附图说明
图1A-1D为根据本文中所提供的实施方案的经历处理的衬底的示意性剖面图。
图2A为例示根据本文中所提供的实施方案的处理的处理流程图。
图2B为根据一实施方案的以如蘑菇的金属突出物填充金属后的衬底的示意性剖面图。
图2C为在金属填充及掩模移除后结合了柱与通孔的特征的示意性侧面图。
图2D为在金属填充及掩模移除后结合了线与通孔的特征的示意性侧面图。
图3A-3D为根据本文中所提供的实施方案的经历处理的衬底的示意性剖面图。
图4为根据本文中所提供的实施方案的示出处理的流程图。
图5A与5B为分别示出决定晶粒内的非均匀度与特征内的非均匀度的衬底示意性剖面图。
图6为处理流程图,其示出了根据本文中所提供的实施方案的电化学金属移除处理。
图7A与7B为接近衬底的金属去除设备的部分的示意性剖面图,其示出根据两个不同的实施方案的电解液流模式。
图8为处理流程图,其示出了根据本文中所提供的实施方案的电化学金属去除处理。
图9A为示出的经历电蚀刻的衬底的剖面图。
图9B为示出经历电抛光的衬底的剖面图。
图9C为图9B中的衬底在电抛光后的剖面图。
图10为用于估算用以决定电蚀刻与电抛光状态的临界电位的实验I-V的图。
图11为一系列实验I-V的曲线,其示出临界电位对电解液横流速率的依赖性。
图12示出了在决定临界电位中使用的两个实验图。
图13为示出根据本文中所提供的实施方案的电化学金属去除处理的处理流程图。
图14为示出根据本文中所提供的实施方案的电化学金属去除处理的处理流程图。
图15A为电蚀刻后的衬底的示意性剖面图,其示出过蚀刻问题。
图15B-15E为根据本文中所提供的实施方案先经历电蚀刻再经历电抛光的衬底的示意性剖面图。
图16A为未进行电化学金属去除所获得的铜特征的SEM照片。
图16B为在电抛光状态中电平坦化的铜特征的SEM照片。
图16C为在电蚀刻状态中电平坦化的铜特征的SEM照片。
图16D为先电蚀刻再电抛光的铜特征的SEM照片。
图17为根据本文中所提供的实施方案的处理的处理流程图。
图18为根据本文中所提供的实施方案的处理的处理流程图。
图19A为根据本文中所提供的实施方案的控制器连接的概图。
图19B为根据本文中所提供的实施方案的材料进入池及离开池的概图。
图20为根据本文中所提供的实施方案的电化学金属去除设备的除镀池的示意性剖面图。
图21为根据本文中所提供的实施方案的离子阻性离子可渗透的组件的俯视图,离子阻性离子可渗透的组件具有横流限制结构设置于其上。
图22A为根据本文中所提供的实施方案的除镀池的一部分的示意性剖面图,其示出从阴极室去除H2气泡。
图22B为根据替代性实施方案的除镀池的示意性剖面图,其示出倾斜隔膜。
图23为根据本文中所提供的实施方案的除镀池的一部分的示意性剖面图。
图24是示出根据本文中所提供的一实施方案的电化学金属去除设备中的流体连接的概图。
图25为示出根据本文中所提供的另一实施方案的电化学金属去除设备中的流体连接的概图。
图26为根据本文中所提供的实施方案的与电解液储槽一起显示的静止室的示意性剖面图。
图27为根据本文中所提供的实施方案的电解液储槽的示意性剖面图。
图28A为根据本文中所提供的实施方案的容纳活性阴极的除镀池的一部分的示意性剖面图。
图28B为图28A中所示的除镀池在将金属层沉积至活性阴极上后的剖面图。
图29A为根据本文中所提供的实施方案的阴极的俯视图。
图29B为用于适配阴极中的通孔的管的一部分的图。
图29C为图29A所示的阴极的图。
图29D为图29A中所示的阴极的图,其显示附接至阴极以去除阴极的柄。
图30为根据本文中所提供的实施方案的可用于施行操作的整合系统的概略俯视图。
图31为根据本文中所提供的实施方案的可用于施行操作的另一整合系统的概略俯视图。
图32为根据本文中所提供的一实例的计算模型化图,其示出了电解液去除速率为稳态铜浓度的函数的依赖性。
具体实施方式
在下面的叙述中将提供各种特定细节以提供对所述实施方案的全面了解。然而本领域技术人员应当明白,本发明的实施方案可在缺乏这些特定细节的情况下实施或利用替代性的组件或处理实施。在其他的情况下,不详细说明公知的处理操作以免不必要地使本发明的实施方案难以理解。
提供改善半导体衬底上的金属层的均匀度的方法及设备。在本申请中,“半导体晶片”或“半导体衬底”指在其本体中任何处具有半导体材料的衬底,应了解,半导体材料不必裸露。半导体衬底可含形成在半导体材料上方的一或多种介电材料及导电层。半导体装置行业中所用的晶片通常为圆形半导体衬底。实例包含具有200mm、300mm、或450mm直径的晶片。下面的详细叙述说明晶片上的沉积与蚀刻。然而,本发明的实施方案不受限于此。工件可具有各种形状、各种尺寸以及各种材料。除了半导体晶片外,可受益于本发明实施方案的其他工件包含各种物品,如印刷电路板等。
本文中所提供的方法可用于改善各种金属层(尤其是易适于电化学溶解的金属如Cu、Ni、Co、Sn、及包含这些金属的合金)的均匀度。在某些实施方案中,利用所提供的方法电平坦化贵重金属及包含这些金属的合金,如Pd、Pt、Ag、Rh、Ru、Ir及Au。可利用所提供的方法电平坦化的合金的一实例为锡银合金(如包含5原子%或更少的银的合金)。
当对半导体衬底施加阳极偏置时,根据方程式(2)所示的反应产生电化学溶解:
M0→Mn++ne- (2)
电化学金属去除用的设备还包含电连接至电源的阴极,其中设备被配置为在电化学金属去除期间对阳极施加负偏置。阴极从电解液还原金属,金属通常接着被电镀至阴极表面和/或通过从电解液还原质子而产生H2。在某些实施方案中,选择阴极以在不会大幅还原金属离子的情况下实质上仅产生H2。在其他实施方案中,选择阴极以在不会产生H2的情况下实质仅还原金属离子。在还有的其他的实施方案中,在阴极会发生大量的H2生成及金属离子还原。如文中所使用的,主要地使其他反应超过金属离子还原(如主要地生成H2)的阴极称为惰性阴极,而主要地将金属离子还原成金属的阴极称为活性阴极。惰性阴极通常包含对催化氢气生成有贡献的金属,如铂。活性阴极通常可具有任何可镀的表面,如不锈钢表面、铜表面等。应注意,处理条件,如电解液浓度及提供至阴极的功率,可影响氢气生成与金属离子还原反应之间的平衡。例如,当使用具有较高金属浓度的电解液时较有利于金属离子还原。
术语“电化学金属去除”及“电化学回蚀”在本文中可交换使用且是指从被阳极偏置的衬底电化学溶解金属。本文中所用的术语“电平坦化”为伴随着任何类型的均匀度的改善(即任何类型的金属厚度变化的缩减,包含晶片级、管芯级及特征级的厚度变化)的电化学金属去除的上位术语。在后续将更详细讨论的不同的“电蚀刻”及“电抛光”状态中可进行电化学金属去除与电平坦化。
根据上下文可明白,本文中所用的术语“特征”是指衬底上未填充、部分被填充、或完全填充的凹陷。通掩模的特征指形成在介电掩模层中(如光致抗蚀剂层中)的未填充、部分被填充、或完全填充的凹陷特征,其中掩模层在后续将被去除。通掩模的特征在底部处具有导电晶种层。换言之,具有未被填充或部分填充的通掩模的特征的衬底包含被暴露的非连续性金属层及被暴露的介电材料层,其中被暴露的非连续性金属层通过介电材料层下方的导电层进行电连接。
在一方面中,提供了通掩模的特征的均匀度的改善用的设备及方法。虽然所提供的方法及设备尤其有利于改善非连续性金属层(如通掩模金属特征)的均匀度且主要针对通光致抗蚀剂特征说明,但其也可被用于改善连续性金属层的均匀度。在某些实施方案中,上述方法涉及从具有金属层中的非均匀度的半导体衬底电化学去除金属,其中电化学回蚀可改善例如管芯内均匀度、特征内的均匀度及晶片内均匀度中的至少一者,其中均匀度通常指金属厚度的变化而改善涉及减少至少一种类型的金属厚度变化性。不同于化学机械抛光(CMP),所提供的电化学方法不依赖使用机械垫、与固体抛光仪器的物理接触、和/或改善均匀度用的研磨浆,而是在金属去除期间利用电解液流体动力学特性、电解液组成以及特定电化学状态中的一或多者以达到均匀度改善。该处理的一个独特特征为,其能够改善特征内、特征与特征之间以及全局的厚度均匀度并同时使特征在掩模层内维持凹陷(如在部分被填充的特征中),且因此特征不会被如CMP的机械研磨作用的影响。在某些实施方案中,额外的优异特点在于,没有来自于与作用在衬底的表面或特征上的固体抛光仪器互动的物理力。在彼此之间缺乏支撑材料的孤立特征上作用的研磨力会导致个别的柱与在线的庞大机械剪切力,通常会造成柱与线在抛光处理中被损害。
在制造具有各种尺寸的特征的各种封装互连件(包含铜线、重布线(RDL))及不同尺寸的柱(如微柱、标准柱及整合高密度扇出(HDFO)及巨柱(megapillar))的期间,可进行通掩模的特征的均匀度改善。特征宽度的范围广泛,上述方法对较大的特征尤其有利,如宽度从约1-300μm的特征,如从5μm(RDL)至约200μm(巨柱)。例如,在制造具有宽度约20μm的多个微柱的衬底或制造具有宽约200μm的多个巨柱的衬底期间可使用上述方法。在某些实施方案中,特征的深宽比可在从约1:2(高比宽)至2:1及更高的比例的范围之间变化。
所提供的方法高度地有利于平坦化包含具有不同直径与节距(也被称为关键尺寸(CD))的多个特征的衬底。在某些实施方案中,衬底包含具有第一直径的第一特征以及具有不同的第二直径(如比第一直径大至少10%、50%或100%的直径)的第二特征。在某些实施方案中,上述方法用于电平坦化具有不同深宽比的多个特征的衬底。例如,衬底可包含具有第一深宽比的第一特征及具有不同的第二深宽比(如第二深宽比第一深宽比至少大10%、50%或100%)的第二特征。在某些实施方案中,衬底可包含因衬底上的下方形貌的变化而有不同有效深宽比的多个特征。例如,如果衬底包含布置在倾斜下方表面上的深宽比为1:1的两个特征,则相比于位于较薄部分的表面上的特征,位于较厚部分的表面上的特征会是较高地势的特征且将具有较低的有效深宽比。在某些实施方案中,将所提供的电平坦化方法用于因特征下方形貌的变化而有地势较低与地势较高的特征(如第一特征具有第一有效深宽比而第二特征具有不同的第二有效深宽比,举例来说,第二有效深宽比可比第一有效深宽比大至少10%、50%或100%)的衬底上。本文中所用的术语“深宽比”为上位术语,其包含真实的深宽比(高对宽的比例)及有效深宽比(从特征底部测量到场区域最低平面所得的有效高度对宽度的比例)。
此外,所提供的方法特别适合电平坦化包含具有直径变化性及深宽比变化性的特征的衬底。这些衬底尤其难以利用传统的方法处理而达到期望的均匀度。在某些实施方案中,本发明的方法用于包含具有第一直径与第一深宽比的第一特征及具有第二直径与第二深宽比的第二特征的衬底,其中第二直径不同于第一直径(如第二直径比第一直径至少大10%、50%或100%)且第二深宽比不同于第一深宽比(如第二深宽比比第一深宽比至少大10%、50%或100%)。在某些实施方案中,本发明的方法用于包含具有第一直径与第一深宽比的第一特征、具有第二直径与第二深宽比的第二特征、具有第三直径与第三深宽比的第三特征以及具有第四直径与第四深宽比的第四特征的衬底,其中第二直径不同于第一直径(如第二直径比第一直径至少大10%、50%或100%)且第四深宽比不同于第三深宽比(如第四深宽比比第一深宽比至少大10%、50%或100%)。
在某些实施方案中,所提供的方法尤其对具有多个致密特征及一或多个孤立特征的衬底有用。例如,在某些实施方案中,衬底包含以第一距离与靠其最近的特征分离的第一特征(致密特征),以及以第二距离与靠其最近的特征分离的第二特征(孤立特征),其中第二距离至少比第一距离大2倍,如至少大3倍或至少大5倍。距离是从第一或第二特征的中心测量至其对应相邻特征的中心。此外,所提供的方法尤其对包含不同尺寸的特征的衬底有用。例如,衬底可包含具有第一宽度的第一特征及具有至少比第一宽度大约1.1倍(如至少大1.2倍或至少大2倍)的第二宽度的特征。在更不寻常的情况中,第二宽度可至少比第二宽度大20倍或更大。具有不同宽度的特征的衬底的一实例为具有多个WLP特征的晶片,多个WLP特征包含具有第一宽度的第一特征及宽度比第一特征大约1.1-1.5倍的另一特征。具有可变宽度的特征的衬底的另一实例为具有RDL图案的衬底,其中RDL图案包含具有第一宽度的第一特征(如线)及具有第二宽度的第二特征(如焊垫),其中第二宽度比第一宽度大上至20倍(如比第一宽度大介于约5-20倍)。例如,RDL图案可包含5μm宽的线及100μm宽的焊垫。
特征密度具有显著变化性(特征致密的区域及大致孤立的特征的其他区域)的衬底,以及具有不同宽度的范围的特征的衬底,尤其有益于所提供的方法,因为在这些衬底中在电镀后金属厚度分布的变化性会因为电镀期间离子流的变化性而加剧。
图1A-1D针对具有孤立特征的衬底示出该问题,并提供处理流程的实例,其示出在通掩模电镀中可能遭遇到的一种非均匀度类型,以及使用电氧化金属去除方法改善此非均匀度。图1A-1D显示经历处理的半导体衬底的一部分的示意性剖面图。图2A的处理流程图示出图1A-1D中所示的处理的数个步骤。参考图2A,处理始于201,其提供具有通掩模的特征的衬底。图1A示出一个此类衬底100的一部分的剖面图,其中衬底包含具有导电晶种层103(如铜层)位于其上的膜层101(如介电层,例如氧化硅)。应了解,膜层101可位于一或多层其他层(未显示)上方,其他层可包含黏附层或“粘层”(Ta、TaN、W、WN、Ti、TiN、TiW等)及半导体材料(如Si、Ge、SiGe等)。图案化的非导电性的掩模层105(如光致抗蚀剂)位于晶种层103上且具有形成在掩模中的多个凹陷特征使得导电晶种层材料在凹陷特征的底部处被暴露。这些特征称为通掩模凹陷特征。图1A显示彼此相邻设置的两个凹陷特征107与108以及与最近的凹陷108有较大距离的孤立凹陷特征109。图1A中所示的衬底可通过下列方式获得:提供具有被裸露的层101(如介电层)的半导体衬底;通过任何适合的方法将导电层沉积至被裸露的层上方(如可以PVD沉积导电铜晶种层);将掩模层沉积于晶种层上方(例如旋涂光致抗蚀剂掩模)然后利用例如光刻技术图案化掩模以定义出通掩模凹陷特征107、108及109。取决于应用可变化凹陷特征的尺寸,其通常具有介于约5-250μm的宽度以及介于约1:2-15:1的深宽比。
接下来,将金属电镀至凹陷特征中以填充凹陷特征(部分填充、完全填充、或过填充,其中具有过填充的电镀有时被称为图2B中所示的“蘑菇型(mushroom)”电镀)。由于导电晶种层材料连接所有特征,且对于电流流动相对于电解液的电阻率具有较小的电阻(由此在所有以及每一凹陷特征的底部处适当地达到恒电位),并且,因为孤立特征被暴露至三维电解液环境更多,故孤立特征在电解液中对电流流通呈现出较低的电阻,因此相比于较致密的凹陷特征107与108的区域,孤立的凹陷特征(如凹陷109)倾向为较高离子电流及电沉积的位置。图1A中概略地显示了被称为“主电流分布负载效应”的该效应。在电镀期间,衬底100通过在晶片侧面处被暴露且电连接至电源的晶种层103而被阴极化偏置。衬底置于与阳极相对的电镀池中且衬底的工作表面被浸没于电解液中,该电解液包含将电镀的金属的离子及用于增加电解液的导电率的选择性的酸。
电镀溶液通常包含电镀添加物,相对于无电镀添加物的情况,电镀添加物修改表面反应动力学性质且常有用于改善电流分布(特征形状及厚度分布)的电镀添加物(有电镀添加物时相对于主或电解液电阻驱动电流分布较改善)。图1A中以箭头概略显示离子电流场的分布。由于掩模层105并非导电性的,故离子电流分布主要会由衬底表面上的导电晶种层103的裸露部分的分布所支配。虽然不希望受限于任何特定的模型或理论,但在缺乏表面动力、均质反应及质传阻力下的电流分布被称为主电流分布且被用于电场分布的拉普拉斯方程式所支配(其中/>为电解液中的电位且/>是拉普拉斯运算子(函数的梯度的散度)。因此,对较孤立的凹陷特征而言,预测出109会比凹陷特征107与108经历更大的离子电流通量。在许多情况中,使用电镀添加物期望能解决及抵消该“负载”效应,但通常情况是,即使存在添加物,孤立特征以较高的速率电镀。因此,这会导致相比于较致密的特征而言孤立的凹陷特征中会有较高的电镀速率且较孤立的特征中有更厚的金属层,导致管芯内非均匀度。还针对其他目的使用电镀添加物,包含更改管芯度或修改表面的平滑度与光亮度。即便在电镀期间采取多种方法(如电镀添加物的选择)以改善电镀均匀度,这些方法不是总会导致在可接受的沉积速率(或甚至极低沉积速率)下的可接受或期望的均匀度程度,且通常期望或需要更进一步地改善管芯内均匀度。另外,较快的电沉积速率通常会导致厚度变化性增加,尤其因为电镀添加物阻挡电荷传输的效能及其补偿上述主电流分布场效应的能力会随着电流密度减弱,且被暴露的特征还被暴露至电解液的金属离子源更多,故其对质传提供较少的阻力。因此,为了达到金属层的目标均匀度,通常必须要在比期望的电镀速率更慢的速率下进行电镀。在某些情况中,在任何电镀速率(甚至极低的电镀速率下)皆无法达到目标均匀度。如本文中所提供的一种选择是在较快的电镀速率下电镀,接着利用本文中所述的方法进行电平坦化。最终,在许多实施方案中,使用所提供的方法,通过电镀,接着电平坦化,针对特定的目标均匀度程度可达到较高的净处理速率及工具生产率。换言之,使用本文中所述的方法及设备可达到传统纯电镀方法在任何电镀速率(包含极慢的电镀速率)下所无法达到的均匀度程度。
参考图2A,在操作203中,将金属电镀至凹陷特征中达到高于最终目标金属厚度的水平,其中最快的特征填充与最慢的特征填充之间的电镀速率比为R1。在说明特征填充比时所用的金属沉积速率与金属去除速率是指平均时间速率。例如,在图1B所示的衬底中,将金属113(如铜)填充至特征达到大于目标水平115的水平。在所示的实例中,最快的特征填充发生在孤立特征119中而最慢的填充发生在特征117中。从填充后取得的厚度比值可判断出这些特征之间的时间平均电镀速率的比值。超过目标水平的过度电镀通常大于目标电镀厚度的约10%,如大于目标厚度的介于约10%-50%。在后续的电化学去除步骤中,当在金属去除期间改善均匀度时,去除(牺牲)过度电镀的金属。过度电镀的量取决于几个考虑因素,其包含但不限于目标特征和特征之间的均匀度需求、期望的特征平坦度、操作成本和/或生产率需求以及比值R1。
一般而言,电镀步骤可在凹陷特征填充的各种水平处停止。在某些实施方案中,电镀后的衬底包含如图1B中所示的被部分填充的特征。在某些实施方案中,凹陷特征被完全填充且可能甚至包含超过掩模水平上方的所有或部分金属突出部。在某些实施方案中,在电镀后突出的金属不会合并(横向成长不足)而形成相邻特征之间的金属桥或衬底场区域上的连续性金属层。然而,在某些实施方案中,可将金属沉积至下列程度或水平:在场区域上形成横跨两或更多被填充的特征(如在特征之间发生桥接)的连续性金属层。这示出于图2B中所示的结构中,其中位于光致抗蚀剂213中且通过晶种层215电连接的特征207、209及211被金属过度填充以致于在每一特征上方形成了如蘑菇状的金属突出物217。此外,金属桥219形成在两个相邻的蘑菇型突出物217之间。在所示的实例中,金属桥不会延伸至更孤立的特征211。
应注意,在电镀后单一衬底可包含不同类型的填充特征。例如,在某些实施方案中,在电镀后衬底可包含被部分填充及被完全填充的凹陷特征。在其他情况中,特征在几何上可以彼此不同(无论填充金属的量),例如某些特征具有低于大致上起始衬底平面的一或多个凹陷(如柱内的通孔)。图2C示出结合了柱221及柱下方的通孔223的特征。此外,某些特征可包含线与柱的组合。此类的结合特征示出于图2D中,其中线225设置在通孔227的上方。图2C与2D提供被填充的特征在光致抗蚀剂去除后的示意性侧面图。
更通常,在电镀步骤中每一特征被填充至其初始凹陷深度的至少约50%。无论填充的量如何,流程接着在操作205中在每一特征中进行电化学金属去除且电化学去除在特征的平均厚度接近目标厚度水平时停止。相比于纯电镀处理,电化学去除处理改善了均匀度(减少厚度变化),且电化学金属去除处理被配置成使得在最快电镀/填充的特征与最慢电镀/填充的特征之间的金属去除速率比值(被称为R2)大于R1(上述相同的一对特征之间的金属沉积(电镀)比值)。如现在所将解释的,R2>R1的该关系对于平坦化处理的成功是很重要的。若R2等于R1,则最快电镀的特征与最慢电镀的特征之间的相对厚度将实质上不变(且所增加的处理是无用的,因为其无法达到任何厚度均匀度的改善)。以一简单的实例证明,可假设最快电镀的特征的电镀速率为最慢电镀的特征的电镀速率的两倍(R1=2)且目标厚度为20μm。在此情况中,最快电镀的特征会电镀至2×20=40μm的厚度而最慢电镀的特征会电镀至1×20=20μm的厚度(在此例中我们允许较薄的特征达到目标厚度)。现在如果对特征进行更长久的电镀如分别电镀至2×25=50μm及1×25=25μm的厚度且如果R2=R1=2,则从这些特征的金属去除会以相同的2比1的相对速率进行。更具体而言,从较薄的特征去除5μm将厚度降至20μm,则从较快电镀的特征会去除2×5=10μm的厚度。于是相对于纯电镀的情况(分别是40μm与20μm),在电化学去除后所获得的最终特征将是不变的。当R2小于R1时,通过使用电镀,接着进行电化学金属去除处理所获得的特征相对厚度差异会发散(变得更差或更大)。只有在电化学金属去除处理中金属去除速率比值R2大于前面电镀处理的R1比值时,净处理才会导致优选的厚度均匀度。因此,为了使处理有用,R2/R1的值应大于1,举例来说,R2/R1应大于约1.1,例如大于约1.15。在某些需要高处理效率的情况中,R2/R1应大于约1.25。R1与R2比值间的所需关系可通过配置一或多个参数而最小化R1,使R1尽可能地接近1.0和/或最大化R2来达到。例如,如上所述,在某些实施方案中,可在电镀溶液中使用某些可抵消主电流分布或奥姆场分布效应的电镀添加物,通过改变特征的不同表面上的沉积动力学性质而减少R1。在某些实施方案中,在包含被配置为可相对于R1*减少R1的一或多种电镀抑制剂和/或一或多种电镀整平剂的溶液中进行电镀,其中R1*为在缺乏此类添加物的情况下或缺乏其他用于减少R1*的措施的情况下所获得的比值。虽然不想被任何模型或理论限制,但R1*可被视为是已知的“主电流分布”、电场分布及电镀电流分布(其仅被各种暴露特征的相对离子电阻以及暴露调制)的结果。更孤立的特征倾向于更暴露至溶液且具有更多电流的离子路径以使其被电镀,因此倾向具有较低的电阻及较高的电镀速率。在某些限制性的电化学情况中,主电流分布被拉普拉斯方程式支配,如本文中的方程式3中所提供的。
将R1*减少至R1(或通过在电镀步骤中添加添加物使电流分布比主电流分布更均匀)的重要性可如下所示。如果在被电镀的衬底中R1*为2且如上所述主要被离子电流场分布所决定,则在接下来的电化学去除期间R2(由相同的场分布所决定但沿相反方向)也约为2(如果未采取任何手段或能够将其增大的手段)。在此情况中,均匀度将不会有任何的改善。如从拉普拉斯方程式可见,主电流分布不取决于电解液的比导电率或其他特性。因此,主电流分布(或针对此实例而言,R1*)一定不小于R2。如文中所提供的,需要通过例如使用表面电阻添加物将R1*减少至R1。被溶液与界面的结合电阻所支配的电流分布称为第二电流分布。应了解,存在例外,其中可通过非为添加电镀添加物的方法而将R1*降低至R1。例如,一种此类方法为,修改若非如此则电镀最快的电镀特征中扩散或对流电阻变得可观或主要之处的条件(被称为第三电流分布),则若非如此则会因电场暴露而电镀得较快速的这些特征会具有和被较少暴露的特征更相等的总电阻或甚至具有比被较少暴露的特征更高的质传阻力。然而,若因为在电镀期间添加抑制和/或整平添加物或其他方法,相对于R*1将R1降低至1.5,则以R2等于2进行的回蚀会导致较厚孤立结构的较快蚀刻,因而改善均匀度。
图1C中显示在操作205后所形成的结构,其中可观察到在所有三个特征107、108及109中的金属填充物113已被电化学回蚀至目标水平115,因而已改善管芯内均匀度。如将下文所述,可通过使用不同的电化学状态(regime)而针对改善均匀度控制而配置电平坦化的处理条件。在某些实施方案中,方法涉及以电量方式决定电化学回蚀处理的结束或终点。当电镀与回蚀处理具有近乎或等于100%的电流效率(电流效率为造成金属沉积或去除的电流的分率),以电量方式控制在目标厚度处结束电镀及回蚀至最终目标厚度最简单也较有利地加以实施。在常见的硫酸/硫酸铜电解液中进行铜电镀的情况中,电流效率为100%,但使用相同电解液的蚀刻的电流效率通常远低于100%(如53%)且其实际值取决于蚀刻速率、蚀刻温度、主体溶液的浓度、流动/对流条件与时间。因此,如下文所述,使用优选的电镀与电化学去除电解液,其具有参数上恒定且接近100%的电流与蚀刻/抛光效率(例如,至少约90%电流效率,如至少约95%)。电量方法涉及测量在金属去除期间通过金属去除池的电荷量并将此电荷量与过度电镀超过目标水平期间通过电镀池的电荷量相比较。当电镀处理与蚀刻处理两者形成相同的电状态阳离子(例如,电镀过程从Cu+2将铜还原为Cu,蚀刻过程在两电子步骤处理时将Cu氧化为Cu+2)时,一旦通过金属去除池的电荷量超过预定值(如过度电镀超过目标水平期间通过的电荷量)时可停止回蚀。
一旦完成电化学回蚀后,去除掩模层105(如可通过光致抗蚀剂剥除而去除光致抗蚀剂)并如图1D中所示获得具有多个金属凸块和/或柱113的衬底。在接续的蚀刻操作中可去除晶种层103。
文中所提供的方法可改善的另一类型的均匀度为特征内的均匀度。此处理是由图3A-3D中所示的概略横剖面结构及图4所示的处理流程图所示出。处理始于401,提供具有通掩模特征的衬底。此衬底示出于图3A中,其中,通掩模的凹陷特征107位于光致抗蚀剂层105中。接下来,在图4的403中,将金属电化学沉积至凹陷特征中高于目标水平115,如图3B中所示。在此情况中,金属填充物113在特征内是不平的,在特征的直径范围内有较厚部分也有较薄部分。一般而言,特征内的非均匀度可以任何形状显现,包含但不限于凸圆顶特征(其中被电镀的特征的中心比特征的边缘部分更厚)、凹盘特征(其中被电镀的特征的中心比特征的边缘部分更薄)、可包含多个小突出物与凹陷的粗糙特征。特征内的非均匀形状的背后原因包含许多会造成特征与特征之间的变化的相同原因(如主场效应及特征内流动循环的非均匀度)。处理接着在操作405中电化学去除一部分金属达目标水平并同时改善特征内的均匀度。所得的结构如图3C中所示,其中特征的形状已被改善且金属填充物113已平坦化至目标水平115。接着处理可去除光致抗蚀剂,提供图3D中所示的结构,其显示具有平坦的经平坦化的上部的单一柱113。
图5A与5B分别示出管芯内非均匀度与特征内的非均匀度的正式计算。图5A示出管芯内(WID)非均匀度的计算。在具有多个管芯的晶片上,针对每一管芯计算特征高度的范围(最高与最矮特征之间的差异)并将其除以2。针对衬底上所有管芯的这些半范围的平均值提供了WID非均匀度的测量值。图5B示出特征内的(WIF)非均匀度的计算。在具有多个特征的衬底上,针对每一特征计算一范围:特征的最厚部与特征的最薄部间的差异。这些范围的平均值是特征内的非均匀度。虽然示出于图5A与5B中的这些计算被运用至去除掩模后的特征,但应了解,可类似地计算和/或估算掩模去除前的非均匀度。
有利地,不仅可将本文中所提供的方法用于单独改善管芯内均匀度或单独改善特征内的均匀度,还可将其用于改善两者的组合。例如,可将所研发出的电化学回蚀方法用于平坦化具有被填充至不同高度的特征的衬底,其中特征本身可具有厚度不规则性,如凸形或凹形或粗糙表面。
另外,可将本文中所提供的方法用于改善晶片内(WIW)非均匀度。在某些实施方案中,晶片衬底的某些区域可能经历比期望更厚或更薄的电镀。其发生可能是因为晶种层厚度和/或掩模层厚度的晶片内变化,或者更一般性因为不佳或有限能力来优化设计电镀处理或电镀设备。此外,这可能会发生在包含管芯(通常位于晶片或衬底的边缘处)及与管芯区域相邻的无特征或无管芯或无部分管芯的区域的衬底中。该几何特征可能会导致“负载效应”以及在无特征的区域附近的较厚电镀。径向及方位角的WIW非均匀度有时可以下列方式测量:在横跨晶片直径、边缘附近、或横跨整个晶片的多个位置处的管芯中单一特征类型上所测量到的厚度半范围。在这些情况中,所提供的电化学去除方法可成功地改善电镀金属的均匀度。在某些实施方案中,可使用所提供的方法得到具有小于2%的WIF、小于3%的WID、小于2%的WIW、及其组合的衬底。
电化学金属去除处理条件
本文中所提供的电化学金属去除处理被配置为改善金属层(连续性金属层及非连续性金属层两者)的均匀度,且尤其适合用于改善通掩模电镀的特征的均匀度,尤其是具有非连续性裸露金属层(在连续性金属层被沉积于场区域上之前电镀结束时)的特征的均匀度。此类衬底包含被暴露的金属的区域及被暴露的介电材料(例如,掩模,如光致抗蚀剂)的区域,且表面上金属层的该不连续性对电镀及电化学金属去除都造成了某些挑战。在某些实施方案中,本文中所提供的方法配置电化学回蚀期间晶片表面上的电解液流体动力学以改善均匀度。在某些实施方案中,所述方法配置电化学状态(通过控制衬底处的电位和/或电流)以改善均匀度。在某些实施方案中,所述方法配置电解液的组成以改善回蚀均匀度。在某些实施方案中,提供的方法用于在连续使用电化学金属去除设备期间将电解液组成维持在实质上恒定的浓度,使得利用实质上相等的电解液依序处理大量晶片衬底(例如,大于约50片衬底,如介于约100-5000片衬底),由此改善回蚀的晶片与晶片之间的再现性。所述方法还可涉及在金属去除期间分离、去除与稀释在阴极处形成的氢气或将金属电镀至阴极上。所述方法的这些特征可分开使用或彼此结合使用。
本文中所提供的电平坦化大致上涉及将具有裸露金属层(连续性金属层及非连续性金属层)的衬底的工作表面浸没至被容纳于电平坦化设备中的电解液中,以及阳极化偏置衬底,使得金属如方程式(2)所示电化学溶解于电解液,并同时配置用于改善裸露金属层的均匀度,例如,用于改善管芯内、晶片内和/或特征内均匀度的处理条件。衬底的阳极偏置化利用连接至衬底的导电部分的电触点,电触点电连接至电源。当衬底包含通掩模特征时,触点连接至介电掩模层下方的导电性连续晶种层。触点通常(但并非必要)位于衬底边缘处。电平坦化设备还包含阴极相对电极,其可以是活性阴极或惰性阴极。活性阴极的实例包含不锈钢、铁或镍阴极,其在处理期间通过还原电解液中的金属离子可轻易电镀。例如,当从衬底去除铜并将铜溶解至电解液中时,铜金属层会沉积在活性阴极上。针对惰性阴极,所有或部分的还原处理会导致衬底上的电平坦化处理所去除的金属的还原以外的其他电化学反应,如质子还原而在水性电解液中产生氢气。在阳极处发生衬底金属去除处理期间在阴极处可发生金属沉积和/或氢气释出。例如,当被去除的金属为铜时,反应(4)-(5)发生在被阳极化偏置的衬底处,而反应(6)-(8)发生在阴极处。
阳极反应:
Cu0 (s)-e→Cu+ (4)
Cu+-e→Cu2+ (aq) (5)
阴极反应:
Cu++e→Cu0 (s) (6)
Cu2++e→Cu+ (7)
2H+ (aq)+2e→H2(g) (8)
根据所提供方法的实施方案,在金属去除期间在衬底表面处的电解液流被配置为使得接触衬底的工作表面的电解液横流存在。在电化学回蚀期间使用横流使得能够改善回蚀期间金属层的均匀度,因为横流促进了电解液往返于特征的质传。该方法的处理图显示于图6中。处理始于601,其提供具有金属层的衬底。此方法可大致上用于各种衬底,包含具有连续性与非连续性金属层的衬底。然而,其对于回蚀通掩模电镀的特征尤其有用,其中衬底具有被暴露的金属(非连续性的)与被暴露的介电材料且如图1B中所示金属特征通过连续性的晶种层在被暴露的介电材料下方电连接。这大部分是因为介电材料所分离的特征的场负载效应的特征密度变化性与暴露效应差异所主导。作为连续导电性且互连的可电镀或可蚀刻场区域的部分的凹陷特征(例如通常位于镶嵌电镀晶片中)在扩散暴露时因为场金属的存在,故不会经历相同程度的可变电场浓度或变化。当处理在整个大致表面上进行时,电镀或蚀刻在特征之间同时发生。被嵌于介电材料中彼此分离的特征在致密与较不致密的特征集中区域之间有远远更大程度的场与环境暴露对比。除了通掩模特征的回蚀外,或与通掩模特征的回蚀结合,针对通光致抗蚀剂电镀并以快且空间均匀的去除速率(如至少约5μm/分钟的平均金属去除速率)回蚀及针对从较大特征(如宽度大于约100μm)与较高深宽比的特征(如深宽比大于2:1)回蚀,因为这些情况中对电解液质传有较高要求,因此横流实施方案尤其有用。
再参考图6,处理接着在603中阳极化偏置衬底并将衬底浸没至电解液中,接着在605中提供与衬底接触的电解液流,优选提供与衬底接触的空间均匀的电解液流,最优选提供与衬底接触的均匀电解液横流;以及从衬底电化学去除金属并同时改善金属层的均匀度。电解液横流为沿着实质上平行衬底的工作表面的方向的电解液流。虽然不希望被任何特定模型或理论限制,相信,当金属特征低于介电材料的平面(如使用掩模的通光致抗蚀剂电镀,其中特征被电镀至低于光致抗蚀剂平面),表面上方的区域中的横流会在掩模开口凹陷空穴内产生循环的冲灌流动模式,这会导致优选的质传与处理速率。本文中所提供的电解液横流通过非旋转衬底的其他方法提供。应存在不同于旋转衬底而对横流还有贡献的方法,且优选是贡献程度更甚于旋转。一般而言,仅仅是旋转无法提供径向均匀的传输流,例如无法提供横跨衬底中心的任何横流,且其对晶片级的处理均匀度是有害的。当然,衬底旋转可造成一部分横流,但其主要用于产生时间平均的均匀流场并增加晶片边缘附近的流动。本文中所提供的方法提供横流使得横跨衬底中心的流速(关于紧邻衬底工作表面且横跨衬底中心点的平行衬底表面的流向量)至少约为3cm/秒(如至少约5cm/s、至少约10cm/s或至少约20cm/s)。在某些实施方案中,在整个电化学金属去除处理期间提供横流。例如,在某些实施方案中,应在进行电化学金属去除处理的时间的至少50%或至少80%期间提供横流。例如,在某些实施方案中,电解液横流可通过往复桨机构所产生,往复桨机构可涉及桨动作(paddle motion)的方向改变之间的短暂空闲时间。
可使用各种方法产生电解液横流,包含但不限于横向注射电解液使得电解液沿着实质上平行衬底的工作表面的方向进入靠近衬底的池;利用各种液流转向技术使液流转向而产生或增加电解液流的横向分量;利用动作组件,如往复桨或桨轮动作,在池内产生横流;以及上述方式的任何组合。
图7A示出了产生横流用的液流转向方法。在此实例中,引导电解液流向上朝向晶片衬底流动。电解液以向上移动通过位于晶片附近(如约10mm内)的离子阻性离子可渗透的组件701,然后进入由在底部处的组件的面向衬底表面、在顶部上的晶片的工作表面、以及在侧边上的液流分流组件703所定义的虚拟腔。液流分流组件的壁通常跟随组件的周边且具有排放区域,排放区域具有能让电解液如箭头所示离开虚拟腔的一或多个开口。排放区域以方位角不对称的方式设置,由此造成从组件发出的电解液流以横跨晶片中心点的非零速度转向进入电解液横流中。
图7B示出了利用横向电解液注射及流动转向的组合获得电解液横流的一实例。如图7B所示的实例,电解液流向上经过组件701然后被液流分流组件703转向至横流中,但此外有电解液注射接口705,其将电解液以实质上平行衬底表面的方式以大致朝向液流分流器的排放区域的方向注射。
这些实例提供产生横流的说明,但应了解,可使用其他横流生成方法。例如,在某些实施例中可能不需要离子阻性离子可渗透的组件的存在。
在某些实施方案中,优选在以横流电化学金属去除期间旋转晶片。旋转会改变金属去除过程期间横流向量的方向(若将晶片上的一点作为参考点),且因此会改善特征内均匀度。发现,旋转速率优选应为慢,且在某些实施方案中,角旋转速率应使得衬底边缘的切线线性速度Vθ不会超过衬底边缘处的横流速率。线性速度以方程式(9)与角旋转速率相关联,
Vθ=πDω (9)
其中D为衬底直径(如30cm)且为角旋转速率(每秒转数)。例如,若横跨边缘的横流速率为10cm/秒而衬底具有30cm的直径,则角旋转速率应小于0.106转/每秒即ω<10/(π×30)=0.106转/每秒或小于约6.4转/分钟(rpm)。优选地,角旋转速率应大幅小于以此方式所推算出的速率,如在上列实例中为2rpm,使得角旋转速率不会对晶片边缘与电解液横流的相对线性速度提供明显贡献。在某些实例中晶片的旋转速率介于约0.5-30rpm之间,如介于约0.5-12rpm之间。
电解液组成
在金属去除期间所用的电解液为导电性液体,其通常包含酸,优选是包含具有中等至高黏度(如大于约4cP的黏度)的酸,如磷酸(H3PO4)、1-羟基亚乙基-1,1二膦酸(HEDP)、和/或烷磺酸(如甲磺酸、乙磺酸或丙磺酸)。电解液可包含这些酸彼此的混合物及这些酸与其他酸(如硫酸或醋酸)的混合物。在某些实施方案中,在电解液中使用非酸性的增黏剂,如甘油或乙二醇。已发现甲磺酸的浓溶液在去除处理某些金属(如锡、银、铅及这些金属的合金,如SnAg合金)的处理时尤其有用。虽然可使用各种酸,磷酸与HEDP对于电化学去除铜、镍及钴优选,因为其低成本且因为在电化学金属去除期间使用这些酸会最少化或根本不会从溶液沉淀金属铜、镍或钴粒子。相对地,例如在电化学铜去除期间使用硫酸可导致形成大量的金属铜粒子,一般相信金属铜粒子是因为金属仅氧化为+1态Cu+,接着一价离子不成比例地成为Cu2+及Cu0金属粒子而形成。粒子形成可能会造成衬底上的缺陷及其他设备与处理困难,因此优选应避免。黏性去除电解液可包含络合剂,络合剂包含螯合剂,如有机膦酸盐。
一般而言,在电解液中可与磷酸和/或HEDP结合使用的酸包含硫酸、甲磺酸、醋酸、高氯酸等。还可使用这些酸的混合物。这些较适合于去除铜以外的其他金属,如镍、钴、锡银合金等。电解液中酸的浓度及溶液的黏度优选应高。例如,在某些实施方案中,电解液包含浓度大于40重量%(如大于45重量%,如介于40-65重量%)的磷酸,且电解液黏度大于约4cP,如5cP。
在各种可能合适的电解液中可使用某些二醇,如甘油、丙二醇及乙二醇、及各种其他水溶性有机黏性化合物)作为产生高黏度的碱溶剂或添加物。这些材料非为导电性且通常与水及盐或弱酸一起使用。此类溶液主要但不限于被用于偏好使用弱酸(pH>1)或非酸性电解质溶液(包含具有络合剂及螯合剂的溶液)的应用。此类电解液的其他成分包含导电性的酸或盐(如氨基磺酸、硫酸钠或硫酸铵、硫代硫酸钠、四氟硼酸钠)且可用于蚀刻金属,如Pd、Pt、Ag、Rh、Ru、Ir及Au。
在某些实施方案中,选择电解液成分使得其黏度随着金属离子浓度的增加而快速大幅增加(如随着金属离子含量的每两倍增加而增加大于20%,如大于30%)。随着电化学金属去除的进行,靠近衬底的工作表面在电解液中的金属离子浓度会增加。如果电解液被配置为使得此层的黏度也随着金属离子浓度的增加而增加,如上关于黏度与扩散率之间的关系的讨论,靠近表面的该层中的扩散会明显减少,因此处理会导致不同深度或高度的特征之间与之内的优选均匀度。
分子的扩散系数与黏度之间的关系由史托克-爱因斯坦方程式(10)描述,其中D为扩散系数、kB为波兹曼常数、T为温度、μ为溶液的动黏度(单位为长度平方除以时间)、r为原子的水合原子半径。
因此,当黏度增加时,扩散会根据方程式(11)减慢。
不希望受限于特定理论,相信,当在黏度会随着被抛光的金属的浓度而增加的溶液中进行电抛光时,扩散速率会随着靠近金属表面的金属含量增加而减少,直到在靠近金属表面的界面的电解液中形成了质传限制层为止,质传限制层会限制抛光处理的质传速率。在暴露较少的区域中及空间的局限区域中会更完全或有效地形成质传层。本文中所述的基于磷酸与HEDP的电解液会取决于黏度变化而达到所需的金属浓度。
在许多实施方案中,金属去除处理期间的目标电解液黏度优选至少约4厘泊,如介于约5-12厘泊之间。在某些实施方案中,针对较小特征(如宽度小于约100μm的特征,如2-60μm)的电平坦化和/或针对改善特征内的均匀度,优选使用较高的黏度(如7-12厘泊)。在电平坦化较大特征,尤其是当期望较高金属去除速率时,可使用相对较低的黏度(如4-7厘泊)。
虽然在某些实施方案中,在金属去除处理的初期,电解液实质上无金属,但已发现在每一金属去除处理开始时便包含被去除的金属的金属离子作为电解液的一部分是有利的。当处理初期包含金属离子时,因为可避免处理开始时金属离子浓度的大波动(以及,通过上面的讨论与方程式关联,在相关的黏度与扩散系数的大波动),因此处理的稳定度与再现性优选。这尤其适合于在一个衬底及接续处理的多个衬底上的金属去除过程期间将电解液组成维持实质上恒定的实施方案。此外,如果未在金属去除处理开始时包含金属,可能需要更长的时间才能到达期望的电抛光条件。图8所示的图示出了使用含金属的电解液的处理流程。处理始于801,其提供具有裸露金属层的衬底。可使用各种衬底,包含但不限于具有如文中所述的通掩模特征的衬底。具有相对较小的特征的衬底,以及需要特征内均匀度改善的衬底,尤其受益于该方法。在803中阳极化偏置衬底并将衬底浸没至包含将去除的金属离子的电解液中。例如,如果衬底具有需被电平坦化的铜层,则电解液将包含铜离子;如果要去除的金属为镍,则电解液将包含镍离子,依此类推。在某些实施方案中,在去除铜的初期(在衬底浸没时),铜离子的浓度的范围介于约0.1-2摩尔/升之间,更优选介于约0.2-1.5摩尔/升之间。在一实施方案中,电解液包含磷酸铜(II)(包含所有类型的磷酸盐,如磷酸氢盐)与磷酸的水性溶液或由磷酸铜(II)与磷酸的水性溶液所组成。在另一实施方案中,电解液包含HEDP的铜盐与HEDP的水性溶液或实质上由HEDP的铜盐与HEDP的水性溶液所组成。在某些实施方案中,电解液通过将金属的氧化物或氢氧化物,如铜(II)氧化物或铜(II)氢氧化物,溶解于酸(如磷酸)中而制备。例如,磷酸铜溶液可通过将氢氧化铜(II)溶解于水性磷酸中而制备。酸与氧化物或氢氧化物反应而形成酸的金属盐及水。在某些实施方案中,制备电解液的方法包含将金属氧化物和/或氢氧化物(如铜氧化物或铜氢氧化物)溶解于酸中,然后结合所形成的溶液与更浓的酸。例如,可将铜的氧化物和/或氢氧化物溶解于稀释的磷酸中,然后将其与更浓的磷酸混合。接下来,可选择性地添加添加物,如甲磺酸、氯化物及电镀抑制剂。
在某些实施方案,尤其是使用从电解液还原金属离子的阴极的实施方案中,电解液包含电镀抑制剂,如选自聚环氧烷类或聚烯烃基二醇类的化合物。例如,电解液可包含经取代或未经取代的聚环氧乙烷和/或聚乙二醇。这些添加物改善沉积在阴极上的金属层的形态。此外,通过使用极浓的电解液,如铜浓度(意指铜离子浓度)大于30g/L且磷酸浓度大于625g/L的电解液,可改善形态。在某些实施方案中,可使用过饱和的电解液。一旦衬底被浸没至电解液中后,如805中所示开始进行电化学金属去除处理并改善金属层的均匀度。在某些实施方案中,在整个金属去除处理期间电解液中的铜浓度落在0.1-2摩尔/升的范围内。在某些实施方案中,如将在本文所述的,控制处理使得在金属去除处理期间以及多个晶片的处理之间电解液中的金属离子浓度维持在实质上恒定的水平。
可用于调制金属去除条件的另一参数为电解液温度。温度的变化会改变异质反应处理以及电解液的特性(如导电率与黏度)。在某些实施方案中,温度范围从约20至约45摄氏度。在某些实施方案中,优选是利用被加热至大于约25摄氏度的温度的电解液进行金属去除。例如,在某些实施方案中,处理在介于约27-40℃的电解液温度范围下进行。较高的温度会导致较高的电蚀刻速率与抛光速率且也会导致较高的水蒸发速率(若处理是在开放的大气条件下操作)。由于晶片在进入电镀池与浴槽之前通常会预湿,且由于晶片在处理之后通常会冲洗且在冲洗期间某些冲洗水会进到池与浴槽中,因此大于其他处理所摄取的水摄取率的蒸发速率是有利的。亦可利用与电蚀刻/电抛光电解液具有相同或类似组成的预湿液来进行晶片的预湿,最少化对处理电解液的水注入。在较高温度下的处理会使进入的水的去除比进入更快,且可被用于处理,其中通过周期性地测量(或计算与预测)水含量变化并周期性地将水添加至浴槽/池以将水含量维持在期望限度内。
表1提供用于改善具有通掩模特征的衬底上的均匀度的电解液组成与温度的数个实例。
[表1]
在许多实施方案中,在金属去除期间所用的电解液实质上不同于在电镀期间所用的电解液。例如,在某些情况中,利用包含酸(如硫酸)、金属离子(如硫酸铜)及一或多种添加物(如抑制剂)(如平均分子量约1000的聚乙二醇)、整平剂(如聚胺整平剂,例如四级聚胺)、加速剂(如双(钠磺丙基)二硫化物)或其组合的电镀电解液在衬底上进行电镀,电镀之后,接着进行电平坦化步骤,在某些情况中,电平坦化电解液不包含任何添加物。在某些实施方案中,电镀与电平坦化期间所用的酸的主要类型不同,或者电镀时所用的酸(如硫酸)完全不存在于电平坦化中。在相同的主要酸存在于电镀电解液与电平坦化电解液两者(如甲磺酸用于电镀与电平坦化两者的电解液中)的某些实施方案中,电镀溶液中的酸浓度低于20重量%,如15重量%,且电平坦化电解液中的酸浓度通常大于45重量%,例如50重量%或更高。高浓度的酸溶液可比较低酸浓度的溶液具有更低的导电率。对应于最大导电率的酸浓度会随着酸的本质而改变。为了达到高均匀度的电镀,通常期望使用具有最大导电率及最大添加物影响与稳定性的溶液。高浓度的酸溶液可具有较低的导电率且可快速地分解有机电镀添加物。在一实施方案中,在包含硫酸和/或甲磺酸、包含表面极化添加物(抑制剂和/或整平剂化合物)的电解液中进行电镀,然后在包含磷酸和/或HEDP作为主要的酸的电解液中进行电平坦化。在另一实施方案中,在还包含甲基磺酸锡(30-70g/L)与电镀添加物的甲磺酸电解液(100至200g/L)中电镀锡或锡合金(如SnAg、PbSn)焊料膜,然后在也包含甲基磺酸锡(30-70g/L)但实质上无添加物的甲磺酸电解液(40-65重量%)中对焊料电平坦化。
电蚀刻状态与电抛光状态
本发明人发现,电化学金属去除可在两个不同的电化学状态中进行,每一电化学状态具有其特别的处理行为与特性及在金属去除速率上的效应。这些电化学状态在本文中称为电蚀刻与电抛光。
在电蚀刻状态中,金属去除速率主要是被电解液中的欧姆电阻支配;意即,由电流因电解液中的电阻及电场的相关空间分布而导致自身如何分配所支配。在此状态中表面反应阻力及质传(对流)阻力并非决定性的因素。因此例如在电蚀刻状态中,被更多暴露的那些特征具有较多数目的自其从电解液发射的三维电流路径,具有较低的离子电阻,因此经历较大的离子电流且比经历较大离子电阻(如靠近许多其他特征者)与较小离子电流的特征有更快的蚀刻速率。这示出于图9A中,图9A显示了具有暴露至阴极901的三个通掩模填充金属的特征903、905及907的衬底的一部分的二维投影。在电蚀刻状态中,较孤立的特征903会比较致密的特征905与907经历较大的离子电流(线概略显示了路径及大小,在每一相邻组的线之间的每一间距中流过相同量的电流),因此会比较致密的特征905与907经历更高的蚀刻速率。虽然决定电蚀刻的电流分布的主要因素是特征的相对空间分布,但应注意的是,电蚀刻速率及蚀刻的相对速率可随着特征中的金属凹陷深度而改变,因为当特定特征变得更凹陷时特征的总离子电阻的较大部分会汇聚在掩模-电解液边界909的平面下及特征的凹陷内;这倾向于最小化空间分布的影响。虽然不想被限制至特定的模型或理论,但只要特征的深度小于或等于约其宽度的一半(深宽比小于1:2),大致上电蚀刻速率实质上为常数且取决于特征与其他特征的相对靠近程度。在许多被关注的情况中,特征于这些物理限制下被处理。在该限制下,在蚀刻发生在深宽比小于约1:1的情况中,在电蚀刻处理期间即便特征随着金属去除而变得愈来愈深,选定特征的蚀刻率仍会实质上维持常数。例如,图9A中所示的参考衬底,在电蚀刻状态中孤立特征903的蚀刻速率会大于较不孤立的特征905的蚀刻速率,较不孤立的特征905的蚀刻速率会大于更不孤立的特征907的蚀刻速率,其中每一特征的蚀刻速率实质上为常数。
在充分高的电位及合适的对流条件下的电抛光状态主要是被下列因素支配:与高黏性隔膜形成相关的质传限制以及在特征-电解液界面处与在特征凹陷中的相关质传限制层。在电抛光状态中,金属去除速率不会明显取决于施加的电位或到达特征与特征周围的电解液中的电场分布,而是取决于特定特征对质传限制扩散与对流处理的暴露。因此在电抛光状态中,较不凹陷而被较多暴露的特征中的金属去除速率可大于较凹陷而被较少暴露的特征中的金属去除速率。此外,在某些实施方案中,在单一特征内,特征的较厚(位于较高处)且被较多暴露的部分会比相对较薄(位于较低处)的部分经历更高的金属去除速率。虽然相对被优选暴露的特征的电抛光可在稳定的金属去除速率下进行,但如果电抛光持续进行直到特征变得明显被较少暴露,来自特征的金属去除速率会减少。因此在某些实施方案中,电抛光包含从特征或特征内的突出物电化学去除金属,其中来自此特定组件的金属去除速率在电抛光的起始时大于接近电抛光处理结束时。电抛光去除速率可例如参考图9B与9C说明。图9B示出在电抛光前的衬底的示意性剖面图,衬底具有三个通掩模特征913、915及917。在此实例中,特征913为位于最高的且最厚的特征;特征915比特征913薄而特征917为三个特征中最薄且位于最低的特征。特征还具有圆顶,其中央部分较厚而边缘处的部分较薄。在电抛光状态中,来自位于最高的特征913的金属去除速率在起始时会大于来自位于较低的特征915的金属去除速率,来自位于较低的特征915的金属去除速率会大于来自位于最低的特征917的金属去除速率。随着电抛光进行,特征会变得愈来愈深且暴露愈来愈少,因此金属去除速率会减少,最终导致特征的高度差异的减少,结果达到平坦化。此外,电抛光也会减少圆顶,因为被较多暴露的圆顶的中央部会比靠近侧壁的圆顶的被较少暴露的较低部分有更高蚀刻率的蚀刻。由于电抛光可获得图9C中所示的结构,其中特征之间的厚度差异减少且特征内的形状变得实质上更平坦。
应注意,相比于电蚀刻,电抛光对特征暴露及离子电流环境明显地较不敏感,因此能使较不凹陷的特征(即便其并非最孤立的特征)的金属去除比更凹陷的特征在更快的速率下进行。如果较凹陷的特征为较孤立的特征(如图9B中所示),在电蚀刻状态中不可能有此类去除速率倾向(在电蚀刻中孤立特征是蚀刻得较快的特征且不必要是最凹陷的特征)。然而,可成功地使用电抛光平坦化孤立特征比其他特征更凹陷(位于更低,如图9B中所示)的衬底以及孤立特征比其他特征较不凹陷(位于更高,如图9A中所示)的衬底。此外,本发明的发明人发现,电抛光状态中的金属去除比电蚀刻状态中的金属去除实质提供更平滑且更平坦的金属特征表面。
电抛光状态与电蚀刻状态提供一组独特的工具,其用于改善不同类型的均匀度(如特征内均匀度、管芯内均匀度及晶片内均匀度),用于减少特征表面粗糙度,用于优化平坦化速率且因此用于改善衬底处理生产率。在某些实施方案中,进行金属去除使得处理被配置为在特定状态下进行。电蚀刻与电抛光的发生电位不同,其中电位指电化学金属去除期间的衬底电位。电蚀刻发生在金属去除期间衬底电位被维持在低于临界电位(优选是低于临界电位至少50mV,如100mV)时,且电抛光发生在金属去除期间衬底电位被维持在高于临界电位(优选是高于临界电位至少100mV,如200mV)时,其中临界电位可以本文中所述方式决定。虽然不希望受限于任何特定的模型或理论,但本发明的发明人相信,为了使电抛光发生,必须驱动充分的金属去除速率(因此施加充分大的电位)以在界面附近因扩散系数随着电解液中金属含量的增加快速下降而形成质传抑制电阻膜。应注意,临界电位可取决于特征在衬底上的分布、电解液化学品、及横流电解液流速率,但可基于从在类似于预期处理条件的条件下被处理且类似于将处理的衬底的衬底所获得的数据加以估算。利用特征分布与将处理的衬底上的特征分布相同的衬底也可更精准判断,其中用于判断临界电位的衬底在将处理的衬底的预期相同条件下被处理。应了解,当进行电蚀刻或电抛光时,如通过利用参考电极监控电位,应意识到临界电位并采取步骤以在期望状态中进行处理。具有位于晶片表面附近或位于池中相对于晶片表面电压降为小的点处(例如在极少或无电流流至晶片或流出晶片的平面中)的参考电极是有用的。然而,进行电镀或电抛光的步骤本身不会涉及判断临界电位的步骤。临界电位可以书写指示或程序指令的形式提供给用户,或使用者或服务提供商利用估算、计算模型和/或本文中所提供的判断方法、或其他适合的方法在金属去除前估算或判断临界电位。
图10示出可用于估计临界电位用的电流-电压图。从图10通过检查电极(晶片)/电解液系统的电流-电压(I/V)行为可识别蚀刻状态与抛光状态。蚀刻状态为电解液中特定金属(如铜)的平衡电位的起始阳极状态。在此状态中,电流随着施加的电位的增加而增大(在图9中显示显性的关系)。电位的更进一步增加会导致过渡至抛光状态中。抛光状态是电流在所施加的电位范围内(如500mV)实质上维持不变的状态。临界电位可被估算为对应于两切线的相交处的电位,其中第一切线为电流高原区所画出的切线,而第二切线为快速电流成长区的所画出的切线。
在蚀刻状态与抛光状态之间的界面处有小过渡区域,有时伴随着电流的尖峰(具体取决于电压上升速率)。该尖峰的尺寸与宽度可取决于在一系列电位阶处的电压上升速率或时间。在高于抛光状态的电压处,开始从电解液释出氧气,并且造成电流再次随着电压的增加而增大。除了连续扫描电位之外,可通过例如以下方式来建构图10中所示的曲线:从一系列晶片电化学去除金属,其中每一晶片在预定电压下被处理;测量所得的电流;以及针对晶片系列绘制电压-电流关系。
如前所述,临界电位不仅取决于电解液组成,但也取决于电解液的电解液温度与横流速率。图11示出临界电位如何随着横流速率的变化而改变。图11示出在相同条件下被处理的衬底的三条I-V曲线,其中唯一的差异为横流速率。当横流速率从曲线(a)增加至(b)且增加至(c)时,临界电位推移至较高数值。还应注意,抛光电流随着流率增加而增加。本发明的发明人相信,在较高的流率下,会较快速地从扩散电阻膜的上部去除材料,因此膜大致上较薄且电阻较小。在某些实施方案中,可使用电解液横流的变化,以临界电位的推移来控制蚀刻状态与抛光状态之间的过渡。
利用与将被处理的晶片衬底相同的单一晶片衬底(即,在衬底上具有相同的特征分布)及在真实处理期间将使用的相同的电解液与电解液流率,可进行临界电位的更精准判断。将衬底浸没于电解液中,将经设定的电位施加至衬底,并连续测量电流。针对相同的衬底以步进方式增加电位,然后随时间测量电流。所得的图1201显示于图12中,其示出电流与时间的依赖性,其中电压以步进方式约每30秒增加0.1V的增额从0.1V增加至1V。稳态电流被取为在每一增额的最后10秒中所获得的电流的平均值。替代地,可将整个增额期间的平均电流值或每一增额的终点处的电流值取为稳态电流值。接下来,将稳态电流值绘制为电压的函数,得到图12中所示的图1203中的图。稳态电流被显示为方块,而真实测量到的电流被示为点。误差线显示每一电压处的电流值的标准偏差。该图中的临界电位对应于该实例中电流峰值处的电压,即0.4V。蚀刻状态对应于低于0.4V的电位,且优选低于0.35V的电位(考虑过渡区,由于0.35-0.4V处的电流可能相对不稳定),抛光状态对应于高于0.4V的电位,且优选高于0.55V的电位(考虑过渡区,由于0.35-0.4V处的电流可能相对不稳定)。在电流不具峰值而仅具有从正斜率至零斜率区的拐点的情况中,拐点的电压将对应于临界电位。如果期望更精准地判断临界电位,或如果电压步数相对大,可通过对图绘出两条切线来判断临界电位一切线通过来自显示正斜率的区域的最后实验点而另一切线通过来自显示负斜率或零斜率的区域的第一实验点。两条切线的交点的电压将对应于临界电位。
图13示出使用特定电化学状态进行电平坦化处理的处理流程图。在1301中,提供具有被裸露的金属层的衬底。接下来在1301中,为衬底选择电化学状态。选择可被需要改善的特定均匀度类型和/或被生产率考虑因素支配。电蚀刻处理很适合用于改善具有致密及孤立的通光致抗蚀剂特征的衬底以及具有不同直径的通光致抗蚀剂特征的衬底的管芯内均匀度。电蚀刻还可用于改善晶片内均匀度且可应用于平坦化特征内的凹陷或凸出形状。也可使用电抛光改善这些类型的非均匀度,但此外还可用于最小化表面粗糙度。其也可用于当最厚的特征并非孤立特征时减少特征的高度范围。虽然电蚀刻的金属去除速率通常低于电抛光的金属去除速率,但电蚀刻通常能够比电抛光更快达到期望的目标均匀度。因此在某些实施方案中,为了生产率考虑因素,单独使用电蚀刻或在电抛光之前使用电蚀刻。在操作1305中,衬底的金属层在低于临界电位下被电蚀刻和/或在高于临界电位下被电抛光。优选地,被配置成用于测量衬底附近的电位的参考电极用于确保在期望的电化学状态中进行金属去除。在某些实施方案中,整个电平坦化的步骤都在电蚀刻状态中进行。在某些实施方案中,优选是在电流控制条件下进行电蚀刻。参考图10可观察到,将电流维持在低于“高原”电抛光区的相对稳定电流值(Ipolish)的电流将导致电蚀刻。因此在某些实施方案中,在低于临界电位的电位下但不用活性电位控制的方式进行电蚀刻,其中对电化学状态的控制通过将电流维持在低于电抛光电流的较低水平处而进行。在某些实施方案中,在电蚀刻期间将电流维原在恒定水平。在其他实施方案中,在电蚀刻期间使电流变化但仍使其低于抛光电流。还可在电位控制条件下进行电蚀刻,但在某些实施方案中较期望使用电流控制,这是因为电流控制通常较轻易地准确控制电流,且因为实现的硬件较不昂贵,且因为相比于在特定电压处的材料去除(去除速率在整个处理期间会变化)电流控制较易预测在特定电流处会有多少材料被去除(和去除速率呈正比例)。
在某些实施方案中,在介于0.1-0.7V之间的电位处进行铜的电蚀刻并在介于相对于铜电极0.7-2.0V之间的电位处进行铜的电抛光,其中在电抛光期间所用的电位高于在电蚀刻期间所用的电位。
在某些实施方案中当选择电抛光状态时,利用电位控制来进行电抛光。例如,利用位于衬底附近或在等效位置处的参考电极可将衬底电位直接控制至高于临界电位(例如比临界电位高至少约0.1V)。在电抛光操作的过程期间电流通常会改变,因此对通过的电荷积分并将其与目标终点去除的电荷比较是有用的。
虽然电蚀刻状态提供均匀度的快速改善,但在某些实施方案中可能期望依顺序在电蚀刻后进行电抛光。这是因为电蚀刻可能会导致金属特征上的相对粗糙表面。此外,在某些情况中电蚀刻可能会导致对开始比其他特征更厚的特征的过度蚀刻,这会影响均匀度。电抛光处理倾向于较自我调节;较浅特征去除得比较深特征更快,但随着特征的深度变得类似时两个特征之间的去除速率也变得类似。例如,若处理起始衬底,如图1B中所示的衬底,在电蚀刻处理过程中孤立特征中的电蚀刻速率会大于致密特征中的电蚀刻速率,这可能最终会导致图15A中所示的结构,其中孤立特征被过度蚀刻至低于目标水平且致密特征刚好达到目标水平。通过在电蚀刻步骤前电镀较厚可避免这样的处理,但这样的处理不会有电抛光提供的可能期望的特质,如平滑且平坦的特征表面。因此,替代地,如果在任何特征到达目标水平之前便停止电蚀刻并从金属去除状态切换至电抛光,则可避免该问题。这是否发生取决于在所用的电抛光处理下孤立特征对致密特征的相对去除速率。由于电抛光能够以可变速度去除金属(可变速度可随着时间减少且取决于所选定的特征对对流的暴露),因此最终可利用该两步骤方法来获得平坦化的结构。此方法由图14中所示的处理流程图及图15B-15E中所示的结构所示出。处理始于1401,提供具有被裸露的金属层的衬底(例如具有非连续性金属层与被暴露的介电材料层的衬底,如具有通掩模电镀的特征的衬底)。图15B中提供了此类衬底的示出。在此实例中,衬底包含三个特征1503、1505及1507,其中较孤立的特征1507被金属填充所达到的水平高于其余较致密特征1503与1505被金属填充所达到的水平。此外,在该示例中,三个特征1503、1505、1507在特征内皆有圆顶形的金属填充物。处理在1403继续进行,在低于临界电位的电位电蚀刻金属。由于电蚀刻在较孤立的特征1507中比在其余的两个特征中进行更快速,因此电蚀刻大幅减少特征之间的厚度变化。然而在此实例中电蚀刻不会大幅削减各个特征内的圆顶。图15C中显示了所得结构。随着电蚀刻的更进一步进行,可如图15D中所示发生各个特征的厚度反转,图15D显示孤立特征1507现在变成具有最小金属厚度的特征。接下来,在1405中改变条件并在高于临界电位的电抛光状态中去除一部分金属。图15E中显示在电抛光后所获得的结构。电抛光大幅减少特征内的厚度变化且在减少特征之间的厚度变化之外还实质上平坦化圆顶。优选地,至少在处理的一部分期间或在电蚀刻与电抛光的整个期间使用参考电极监测电位。在某些实施方案中,在将电流控制在低于抛光电流(间接地将电位维持至低于临界电位)时进行电蚀刻,接着过渡至活性电位控制(如增加所施加的电位)以过渡至电抛光处理并在电抛光处理期间将电位直接控制至高于临界电位。
应注意,虽然方法涉及低于临界电位的电蚀刻与高于临界电位的电抛光,但临界电位本身取决于处理条件,如横流电解液的流率与温度。在某些实施方案中,除了增加施加的电位以外或甚至不增加施加的电位,从电蚀刻过渡至电抛光包含减少电解液的横流率,其中横流大小的减少被配置为使处理从电蚀刻切换至电抛光状态。例如,在一实施方案中,针对这些条件在控制电流(对应于低于临界电位的电位)下电蚀刻衬底并同时以第一横流速率供给电解液。接着,减少电解液流速率以在不改变施加的电位的方式下使处理过渡至电抛光状态中,其中该电位现在针对低横流速率条件而高于临界电位。
图16A-16D为50μm宽约30μm高的铜柱(显示在光致抗蚀剂剥除后)的SEM照片,这些铜柱是从四个不同的晶片在各种处理程序后所获得的。所有的铜柱皆于下列方式后获得:凹陷特征在得到大致上粗糙的金属表面的高速电镀电解液中于相同条件下被电填充。图16A为控制实例,其显示在铜电镀后不进行任何电蚀刻或电平坦化步骤的铜柱。可观察到上表面很不平且具有圆顶形状。图16B显示在铜电镀后仅进行电抛光所获得的铜柱。可观察到电抛光几乎去除了所有高度变化。图16C显示在铜电镀后仅进行电蚀刻所获得的铜柱。该方法稍微改善了较大的厚度差异,但在电蚀刻后所得到的表面粗糙度是明显的。图16D显示利用电蚀刻(80%的金属去除时间)并接着进行电抛光(20%的金属去除时间)所处理的铜柱。可观察到获得平滑的表面。
电解液成分的动态平衡
在某些实施方案中,进行电化学金属去除,同时在衬底上进行电化学金属去除的过程期间或在多个衬底上依序进行电化学金属去除的过程期间维持电解液成分的动态平衡。维持动态平衡是重要的,以维持成组的可预测且恒定的晶片处理结果(例如,在WIF、WID及金属去除速率的晶片间的一致性),且维持动态平衡涉及控制电解液的一或多种成分的浓度使得浓度不会从目标浓度波动超过小的定义量。在一替代性实施方案中,在衬底上进行电化学金属去除的过程期间或在多个衬底上依顺序进行电化学金属去除的过程期间维持实质恒定的电解液黏度。在该实施方案中,利用一或多个黏度传感器控制黏度且不允许黏度从目标黏度波动超过定义的量。如果黏度高于所期望的,则可通过添加较不黏的流体(如通过将水添加至基于酸的电解液)和/或增加温度来调节黏度,由此将黏度维持在期望水平。虽然在许多实施方案中优选维持电解液成分的浓度的动态平衡,但可类似地使用维持实质上恒定的黏度的方式来使处理速率及处理特性维持实质上不变。在某些实施方案中,控制电解液中金属离子和/或阳离子和/或质子的浓度,使得其不会从目标浓度偏离超过预定可容许的量。术语“将浓度维持在目标水平”是指将浓度维持在离目标浓度可允许的偏离范围内。例如,如果铜离子的目标浓度为50g/L且可允许的偏离(波动)为5%,则当铜浓度落在介于比50g/L低5%与比50g/L高5%(或47.5-52.5g/L)之间的范围内时可将铜浓度维持在目标水平处。一般而言,可允许的偏离大小针对目标物质的变化对处理速率、平均特征去除速率、特征之间的相对去除或平坦化速率(对比)、特征形状平坦化特性或速率等的影响决定。
该处理于图17中示出。在1701中提供具有裸露金属层的衬底之后,在1703中阳极化偏置衬底并将衬底浸没于电解液中。接着在1705中,处理衬底以电化学去除金属并改善金属层的均匀度,并同时将电解液中金属离子和/或酸(质子)的浓度维持在目标水平的约10%内。在此实例中可允许的偏离为10%。在某些实施方案中,将金属离子的浓度和/或酸的浓度维持在目标水平的约5%内,如目标水平的约2%内。在优选的实施方案中,控制金属离子与酸两者的浓度。例如,在一实施方案中,在电化学铜金属去除期间维持铜离子的浓度使得其波动不超过铜目标水平5%,或更优选是不超过铜目标水平2.5%,且酸浓度的波动不超过酸目标水平2%,或更优选是不超过酸目标水平0.5%。例如在铜离子的目标浓度为60g/L且磷酸的目标浓度为48重量%的系统中,动态平衡可通过下列方式达成:将铜浓度维持在约57-63g/L的范围内(在目标水平的约5%内),且更优选是将铜浓度维持在约58.5-61.5g/L的范围内(在目标水平的约2.5%内),并同时将磷酸浓度维持在约47.04-48.96重量%的范围内(在目标水平的约2%内),且更优选是将磷酸浓度维持在约47.76-48.24重量%的范围内(在目标水平的约0.5%内)。在某些实施方案中,开始将衬底浸没至包含金属离子与酸的电解液中,其中浓度偏离金属离子与酸浓度的目标水平不超过小的定义量,且在电化学金属去除的过程期间控制金属离子与酸浓度,使得金属离子与酸浓度不落在定义范围外(如目标量的10%内或5%内)。在其他实施方案中,开始可将衬底浸没至一或多种成分偏离目标浓度超过10%的电解液中,但在电化学金属去除的过程期间使该一或多种成分的浓度落在期望范围内(每一成分的目标水平的10%内)并在衬底上电化学金属去除的整个期间维持一或多种成分的浓度。
接着,在已处理第一衬底后,处理进行至1709,依顺序处理多个衬底并同时将金属离子和/或酸的浓度维持在目标水平的约10%内。例如,可依顺序处理至少2、至少5、至少10、或至少50片衬底,以从其表面电化学去除金属而改善金属层的均匀度,同时将金属离子(如铜离子)的浓度维持在金属离子的目标浓度的10%内并同时将酸浓度维持在酸的目标浓度的10%内。在处理数个衬底过程期间维持动态平衡用的更特定的范围可与上面针对单一衬底所述的相同。
除了维持金属离子浓度和/或酸浓度的动态平衡之外,方法还可涉及在从单一衬底电化学金属去除的过程期间或多个衬底依顺序处理期间控制电解液温度,使得温度偏离目标温度不超过约1℃,优选是偏离目标温度不超过约0.5℃。在某些实施方案中,还控制电解液黏度,使得黏度偏离目标黏度不超过小的定义值。可经由控制酸及铜浓度和/或控制温度而间接控制黏度。
在替代的实施方案中,在不特别测量电解液成分的浓度且不刻意将电解液成分的浓度维持在恒定水平的情况下,将黏度维持在实质上恒定的水平处。在此实施方案中,可利用例如Anton Paar L-Vis 510或Emerson FVM黏度计直接测量电解液黏度,且如果电解液黏度偏离目标黏度超过预定值,则可调整电解液黏度。在某些实施方案中,黏度计与被配置为测量电解液温度的温度计组合使用。通过例如从电解液蒸发水,减少电解液温度,将更黏的流体添加至电解液(如较高黏度的含酸和/或含金属离子的溶液)或上述方法的组合,可增加黏度以响应过低的黏度计传感器读数。通过将较不黏的流体添加至电解液(如通过加水),增加电解液的温度,或通过上述方法的组合,可减少黏度以响应过高的黏度计传感器读数。利用经验预定的相关性可准确地预测响应上述变化的黏度改变。在某些实施方案中,维持电解液黏度使得其偏离目标值不超过预定的量。
在处理一或数个衬底时维持电解液成分的平衡具有许多重要的优点。当依顺序处理数个衬底时,维持期望浓度会导致电化学金属去除的晶片与晶片间的高再现性,且维持期望浓度是用于针对多个类似晶片获得类似均匀度改善及可预测、恒定的去除速率与处理时间的重要因素。此外,在从单一晶片电化学金属去除期间,如上所述优选是将金属离子与酸浓度维持在期望的窄范围内,因为稳定的浓度使得能够更精准地识别临界电位与选择电化学状态,导致更可预测的结果。除了使每片晶片都在实质上相同的系列条件下被处理外,简化了池中效能的问题或变化监测,因为可变电解液组成(如其导电率或密度)的贡献小,且因此反应器电压或功率、热生成及其他参数不会随着不断变动的电解液条件而复杂化。
图18提供用于维持电解液中金属离子与酸的动态平衡的示出性处理。处理涉及在1801中的电化学去除金属以及在1803中的电化学金属去除期间测量金属离子与酸的浓度。在文中所用的术语“浓度测量”可涉及与金属离子与酸的浓度相关联的电解液特性的测量,电解液特性的测量使得能够分别判断酸浓度与金属离子浓度。在优选的实施方案中,测量两种电解液特性,其中第一特性和酸浓度的关联性比和金属离子浓度的关联性更强,第二特性和金属离子浓度的关联性比和酸浓度的关联性更强。第一特性的实例为电解液的导电率,其显示与酸的浓度的强依赖性。第二特性的实例包含电解液密度及电解液的光吸收度(针对光活性的金属离子,如Cu2+、Ni2+、Co2+等)。在一实施方案中,酸与金属离子的浓度从电解液的导电率与密度的组合读值所推导出。在另一实施方案中,酸与金属离子的浓度从电解液的导电率与光吸收度的组合读值所推导出。在另一实施方案中,可使用酸的滴定或酸与金属两者的滴定。大致上实施方案被限定在用于推导出化学浴组成的特定方法。可使用及预见两或更多理化特性测量的组合的范围,其实例包含但不限于:电解液中的密度、导电率、黏度、光吸收度(在一或多个波长处的)、拉曼光谱、化学滴定、伏安法(如,利用限制金属沉积的电流的线性扫描伏安法,关联金属浓度)、折射系数或音速。此外,通常利用温度传感器监测电解液温度,因为电解液参数和酸与金属离子浓度的关联性通常取决于温度。利用将浓度关联至测量参数的经验式可判断金属离子与酸浓度。在下面的实施例1提供铜离子浓度和磷酸浓度每一者与导电率、电解液密度及电解液温度的依赖性用的此类经验式。
在某些实施方案中,在电化学金属去除处理期间连续测量金属离子与酸的浓度。例如,可连续测量电解液的密度、导电率及温度并传递至系统控制器,在系统控制器中处理这些参数以对电解液管理作出决定。在其他实施方案中,以预定区间(如每300秒)测量浓度并传输给控制器以供处理。如果金属离子浓度和/或酸浓度高于目标水平、或其超过预定容限或阈值,则将稀释剂添加至电解液和/或通过电解回收法减少金属离子的浓度。稀释剂的添加量使金属离子和/或酸的浓度低于预定阈值浓度而更靠近目标浓度。如果金属离子浓度和/或酸浓度减少至低于预定阈值,则将浓缩液添加至电解液。浓缩液的添加量使得金属离子和/或酸的浓度高于预定阈值浓度而更靠近目标浓度。例如,如果金属含量低,添加特定量的含金属溶液,此含金属溶液所具有的金属含量高于池/浴槽中的目标金属含量。类似地,如果酸水平低,则添加浓缩的酸。预定阈值浓度落在离目标浓度水平的允许波动范围内。例如,如果允许金属离子的浓度从目标水平波动5%,则触发稀释或电解回收的预定阈值浓度可比目标浓度高3%,且触发添加浓缩液的预定阈值浓度可比目标浓度低3%。
用于减少金属离子浓度的稀释剂可为水、酸的水性溶液、或包含具有浓度低于针对金属离子的预定阈值的浓度的金属离子的水性溶液。在一实施方案中,稀释剂为不含金属离子的酸的水性溶液。用于减少酸浓度的稀释剂可为水、酸的水性溶液、或含金属的溶液,上述每一稀释剂中所具有的酸的浓度低于针对酸的预定阈值浓度。在某些实施方案中,在超过金属浓度阈值时及超过酸浓度阈值时,将来自单一稀释剂源的单一稀释剂添加至电解液。在一实施方案中,此稀释剂为包含极少(如<1g/L金属)或不包含任何金属离子的水性酸溶液。在某些实施方案中,可通过在分离的电解回收设备中从电解液电解回收预定量的金属以减少电解液中的金属离子浓度。电解回收设备通常包含阴极及尺寸稳定的释氧惰性电极,来自电解液的金属离子在阴极还原并沉积为金属。电解回收可将电解液中的金属离子浓度降至低于预定阈值。电解回收的量可通过控制通过电解回收装置的电荷(利用库仑法)而加以控制。在某些实施方案中,通过将稀释剂添加至电解液并通过从电解液电解回收一部分金属离子而减少金属离子的浓度,这些方法的组合使金属离子浓度落入期望范围。
增加金属离子浓度的浓缩液可为包含金属离子(其浓度高于针对金属离子的预定阈值浓度)的水性溶液或还包含酸(酸的浓度高于、低于或等于针对酸的预定阈值浓度)的类似溶液。增加酸浓度的浓缩液可为浓缩的酸、或酸浓度高于酸的预定阈值浓度的酸的水性溶液、或还包含金属离子(金属离子的浓度高于、低于或等于金属离子的预定阈值浓度)的类似溶液。在某些实施方案中,池的相对电极为释氢相对电极,其中从晶片溶解的金属量超过沉积在释氢相对电极上的金属量。在此情况中,如果金属含量低于目标,则更进一步的晶片处理倾向于增加电解液金属含量因此无需添加。另外,在操作1807中监测电解液的体积,且如果电解液的体积超过预定体积阈值,则从系统去除一部分的电解液以使体积低于预定值。在一实施方案中,通过电解液水平计连续监测电解液的体积。
应注意,在某些实施方案中,将第一稀释剂(例如,无金属离子的酸溶液)添加至与除镀池呈流体交流的电解液储槽。在储槽中稀释后,储槽电解液相对于除镀池中的电解液变得更稀,且因此当其从电解液储槽被添加至除镀池时可作为第二稀释剂。图19显示可用于控制例如包含Cu2+离子与酸的电解液中的电解液组成的示例性系统。该系统包含被配置为将电解液相关信息提供给控制器1909的多个传感器1901、1903、1905及1907。更具体而言,该系统包含用于将电解液密度的数据提供给控制器的密度计1901、用于测量电解液导电率并将此信息提供给控制器的导电率仪1903、用于将电解液温度提供给控制器的温度计1905、以及用于监测电解液的体积并将此数据供给控制器的电解液水平计1907。控制器1909被配置为处理传感器所提供的信息,并被配置为响应于已接收到的信息而将与电解液稀释或浓缩、电解液去除及选择性的铜电解回收相关的一或多个硬件加以启动。在其他情况中,(未显示)控制器1909可操作可修改从池和/或池储槽去除水(经由例如蒸发或反向渗透)的速率的硬件,如开启或关闭排放调节闸或使处理流体流经反向渗透设备。例如,响应于从密度计、导电率仪及温度计所接收的组合数据,控制器可启动稀释硬件1911,稀释硬件1911可包含开启阀件以及启动被配置为添加稀释剂至电解液的泵。选择性地,响应于来自这些传感器的组合数据,控制器可启动被配置为将Cu2+离子转换为铜金属的电解回收系统1913,并由此减少电解液中的Cu2+浓度。响应于电解液水平计的信号,控制器可启动与电解液去除相关的硬件1915。这可包含开启与容纳电解液的容器中的出口相关的阀件,并允许一部分电解液流出容器。
只要测量到的参数实质上与靠近晶片衬底的相同,传感器(如导电率仪、密度计及温度探针)可位于设备的任何位置处。在某些实施方案中,传感器直接位于除镀池中。在其他实施方案中,设备包含一或多个电解液再循环回路且传感器中的至少某些位于除镀池外、再循环回路内,其中再循环回路中的测量到的参数与除镀池中测量到的参数实质上相同(例如偏移不超过1%)。在一实施方案中,再循环回路包含除镀池本身、位于除镀池外的电解液储槽、以及使电解液能从除镀池循环至储槽并从储槽循环回除镀池的流体线。再循环回路可包含一或多个用于过滤电解液的过滤器、一或多个使电解液在再循环回路中移动的泵、流量计、池隔绝阀(被配置为停止从储槽至除镀池的液流的阀件)、以及溶解气体添加或去除设备(例如,用于去除溶解氧,诸如利用气-液“接触件”,如Liqui-Cell Superphobic隔膜接触件)。优选地,快速地混合再循环回路中的电解液使得其在回路中不同部分处(如除镀池中、储槽中、流体线中)的浓度实质上相同。在该实施方案中,优选是在某些实施方案中于除镀池外的再循环回路中设置传感器,如在储槽中设置,或如设置与导入或离开除镀池的流体线相关的传感器。类似地,稀释剂的添加及铜的电解回收可直接在除镀池中进行,或者在某些实施方案中可在除镀池外的再循环回路中进行。例如,可将稀释剂添加至位于储槽中的电解液,且接着将经稀释的电解液快速地引导至除镀池,从而使得能够在整个再循环回路中快速混合电解液成分。
在某些实施方案中,利用也用来作为浓度控制溶液的两种电解液起始/构成溶液来控制电解液组成。该方案大致上是有用的且可用于具有氢气生成阴极的设备中以及使用活性阴极的设备中。当使用氢气生成阴极时且当阴极上的金属电镀不存在或最少时,电解液会因为金属从被阳极化偏置的衬底溶解至电解液中而需要浓度调整(如稀释)。然而即使是在使用活性阴极且池本身内的反应不会修改金属与酸含量的情况中,仍可采用电解液浓度调整。在活性阴极的情况中,由于材料进入及离开池/浴槽系统或由于低于100%的阳极(衬底金属去除)与阴极(相对电极的电镀)效率,电解液组成可能仍然会随着时间漂移。
第一溶液M具有高的金属(如铜)浓度与低的酸浓度,第二溶液A具有高的酸含量及低的金属(如铜)含量。溶液M中的金属浓度高于溶液A中的金属浓度。相反,溶液M中的酸浓度低于溶液A中的酸浓度。例如,溶液M可包含约50至80g/L的Cu+2,如磷酸铜,以及约150至400g/L的磷酸。使用在此范围内较低的铜浓度与较低的酸浓度(如50-75g/L的铜与150-200g/L的磷酸),而在此范围内较高的铜浓度与较高的酸浓度一起使用(如75-80g/L的铜与200-400g/L的磷酸)。该实例中的溶液A可包含约0至10g/L(如5-10g/L)的Cu+2,如磷酸铜,以及约800至1350g/L的磷酸。一般而言,金属/酸的浓度应尽可能地高,同时避免金属盐在预期溶液所暴露的最低温度(例如,在运送溶液期间所遇到的温度)期间沉淀。
图19B示出了根据一实施方案的电镀模块质量平衡1920。当晶片进入电镀池模块1921时,根据晶片的先前历史,晶片可将水、酸、金属离子、或来自其先前处理步骤于其表面上的夹带的其他污染物带入模块中。晶片还可将材料从掩模/光致抗蚀剂层淋溶进系统中。这些来自晶片的进入材料被称为晶片拖入物1922。水通过实质上恒定速率的蒸发1923而从系统去除。在某些实施方案中,可将用于修改水去除速率的机构建造至系统中。例如,可使用速度控制蒸汽流量或机械控制的阀来修改水去除速率。可从系统将受控量的材料(如含酸与金属盐的电解液)去除至废液排出口。从系统流出的该材料流显示为流1924。可将被控制的量的纯去离子水1925、富金属的溶液M1926及富酸的溶液A1927添加(投配)至系统。方法还包含根据需要(例如从位于电解液再循环回路中的储槽)去除被控制的量的可具有高金属、酸或杂质含量的电解液材料,以将酸、金属及水的浓度维持在目标水平处且将杂质浓度维持在可接受的低水平。可使用一系列的传感器(如文中所述)监测电解液成分的浓度。使用系统控制器以及特性/浓度关联以及预测与反馈逻辑以维持浓度。在系统的起始时,通过以被控制的量组合溶液M、溶液A及水以产生具有所需要的目标浓度的成分的溶液,制备起始(新的)电解液。
设备
本文中所述的电化学金属去除方法可在设备中进行,该设备具有:被配置为容纳电解液与阴极的容器;以及半导体衬底支撑件,其被配置为支撑半导体衬底,使得在电化学金属去除期间使半导体衬底的工作表面浸没于电解液中并与阴极分离。该设备包含被配置为在电解金属去除期间用于负偏置阴极及正偏置衬底的电源与电连接件。在某些实施方案中,该设备还包含机构,该机构被配置为在电化学金属去除期间提供沿着实质上平行于衬底的工作表面的方向与衬底的工作表面接触的电解液横流。在某些实施方案中,该设备包含被配置为测量半导体衬底附近(如衬底的约5mm内)的电位或等效电位的参考电极。在某些实施方案中,该设备优选包含位于阴极与衬底支撑件之间的分离件,由此定义阳极室与阴极室,其中分离件被配置为阻挡在阴极处形成的任何H2气泡或粒子横跨分离件而到达衬底。分离件为电解液的离子物质可渗透的且允许阳极室与阴极室之间的离子交流。该设备优选被配置为安全地分离阴极室中的H2或粒子并经由靠近分离件隔膜的阴极室中的一或多个开口去除H2或粒子。
图20中示出了电化学金属去除设备的一部分的实例,该设备包含横流用的机构、参考电极、及被配置为分离及去除H2气体的阴极室。应注意,除了(或取代)分离及去除H2气体,该设备还可用于分离及去除在阴极处生成的粒子。该设备包含被配置为支撑及旋转半导体衬底3的半导体衬底支撑件1。沿着衬底周边设有多个电接触件。电接触件电连接至电源(未显示)以在电化学金属去除期间正(阳极化)偏置半导体衬底。阴极5位于衬底3下方且电连接至在电化学金属去除期间负偏置阴极的电源(未显示)。可使用不同类型的阴极,包含由将去除的相同金属所制成的阴极(例如在铜金属去除期间的铜阴极)、由可电镀的金属(如不锈钢)所制成的阴极及惰性阴极。在某些实施方案中,使用惰性的氢气生成阴极,因为活性阴极可与某些电解液反应或溶解于某些电解液中、或电镀不附着的金属或金属的树突状粒子生成层而导致电解液的金属离子浓度不可避免地增加或形成含金属的淤泥。在其他实施方案中,活性阴极不会与电解液化学反应且为优选选择,因为从衬底所去除的金属会电镀至活性阴极上,故整个池的化学反应呈平衡且处理成本从而可降低,因为很少或不会因为金属耗尽而需要置换溶液。惰性阴极的实例包含涂布有铂、铑、铌或任何这些金属的组合的金属阴极(如钛阴极)。
锥形隔膜7位于阴极5与阳极衬底3之间,将除镀池9分为阴极室13与阳极室11。隔膜7设置在框12上使得锥的顶点比锥的底部更靠近阴极。隔膜材料不允许在阴极5处所形成的H2气泡从阴极室13跨越至阳极室11中。隔膜由离子可渗透的材料所制成,如离子可渗透的聚合物。在某些实施方案中,亲水性的聚合物是优选的,如包含官能基团-SO2-的聚合物。在某些实施方案中,隔膜材料包含聚醚砜(PES)、聚苯砜及来自聚砜家族的其他聚合物。亲水性的隔膜气泡分离材料是优选的,因为气泡附着至这些材料的机会小于附着至斥水性隔膜的机会。隔膜的锥形使得H2气泡能够在阴极处被释放而向上移动,沿着隔膜表面径向向外而朝向阴极室的外缘移动,在隔膜与阴极室侧壁之间的界面处累积。出口15位于阴极室侧壁中接近隔膜与侧壁之间的交接部,且被配置为去除在具有阴极电解液的混合物中的累积的H2气泡。例如,出口位于与隔膜组件和阴极室侧壁的交接部约1mm内,且在某些实施方案中无任何间隙。出口与交接部之间不希望有间隙(竖直间隙)存在,因为气泡会倾向于累积在间隙中并更难以从池去除。在某些实施方案中,出口包含位于阴极室侧壁的周边附近以实质上相同的小间隔设置的多个开口,例如沿着阴极室以45°等间隔设置的八个开口。在某些实施方案中,出口为壁中的连续性槽口。在一实施方案中,池的周边附近的连续性槽口会导致具有电解液出口作用的多个等距分布的孔口。一般而言,只要将池设计成用于从阴极电解液去除大部分气泡或实质上所有气泡,阴极电解液出口就可采用各种形状及形式。例如,当池被设计成引导气泡朝向此出口,可使用由小于360°或小于180°所对向的单一出口。隔膜与阴极电解液出口的相互位置有助于达成有效及安全的氢气气泡分离并且从阴极室去除。阴极室还包含被配置为收阴极电解液的入口17。在所示的实施方案中,阴极电解液入口位于阴极下方。一般而言,优选是将阴极电解液入口设置在阴极电解液出口下方,使得进入阴极室的阴极电解液将向上并绕着阴极流动(或流过穿孔或多孔的阴极),因为这将促进阴极电解液及气泡朝向上的方向的移动并避免阴极室中的电解液与靠近阴极的电解液之间的组成的大差异。阳极室13位于隔膜7上方且容纳被阳极化偏置的衬底3。在所示的实施方案中,离子阻性离子可渗透的组件19(“组件”)位于隔膜7与衬底支撑件1之间的阳极室中。离子阻性离子可渗透的组件优选在电化学金属去除期间与衬底实质上共延且位于衬底的工作表面的附近。该组件具有面向衬底表面及相对表面,且设置成使得在电化学金属去除期间面向衬底表面与衬底的工作表面之间的最近距离约为约10mm或更小。在所示的实施方案中,组件的面向衬底表面是平的,但在其他实施方案中,组件可例如为凸面的,使中间和衬底之间的距离小于边缘和衬底之间的距离。此组件由具有孔隙的介电材料制成,其中组件的孔隙度优选相对低,使得组件在系统的离子电流路径上导入大的电阻。在某些实施方案中,组件包含多个非连通性的通道,允许电解液流过组件。在某些实施方案中,组件包含介于约6,000-12,000个凿钻的通道。对于降低由于终端效应在电化学金属去除期间可能会出现的径向非均匀度,组件是有用的。如果与衬底的电接触是在衬底外缘处(通常如此),终端效应本身可显现在靠近衬底外缘的较多电化学金属去除。在此配置中,尤其在使用薄和/或电阻性的晶种层进行接触时,在衬底外缘处所去除的金属可能会多于在衬底中央部分所去除的金属,导致径向非均匀度。离子阻性离子可渗透的组件可具有用于使场分布更均匀及用于减少所述终端效应的高离子电阻板的功用,由此改善金属去除的径向均匀度。在某些实施方案中,组件还起着使衬底附近的电解液流成形的角色。其可具有流动限制组件的功能,定义高电解液流量的区域并限制液流进入横流区域。例如,其可提供组件的面向衬底表面与衬底的工作表面之间的窄间隙(如10mm或更小),电解液横向注入此间隙中。该配置促进了靠近衬底表面的电解液横流。可利用至少部分由组件19的空腔所定义的横流注射歧管21将电解液(阳极电解液)注射至间隙中。横流注射歧管为弧形且位于衬底外缘附近。横流限制环23位于衬底外缘附近,至少部分介于组件19与衬底支撑件之间。横流限制环23至少部分地定义了组件与衬底之间的间隙的侧边。阳极室具有适于经由例如横流注射歧管从阳极电解液源接收阳极电解液的间隙的入口25,以及适于从间隙去除阳极电解液的间隙的出口27。入口25与出口27位于衬底的工作表面的方位角相对的周边位置附近(且还位于衬底支撑件的方位角相对的周边位置附近及组件的方位角相对的周边位置附近)。入口25与出口27适于在电化学金属去除期间于该间隙中产生电解液横流并在靠近衬底的工作表面处产生或维持电解液横流。在某些实施方案中,离子阻性离子可渗透的组件具有缓和终端效应及限制电解液流的双重目的,以在衬底附近提供电解液横流用的定义空间。参考电极29位于组件19上方靠近衬底支撑件1的外缘。参考电极优选位于离衬底表面约5cm内,或位于可测量到等于离衬底5cm内所测量到的电位的电位的位置处。更优选地,参考电极位于离衬底表面约5mm内,或位于等于晶片表面平面处的电位或与晶片表面平面处的电位有最少差异的位置处。例如,参考电极可被浸没至离开阳极室的电解液中。在所示的实施方案中,参考电极由与将从晶片衬底去除的金属相同的金属的条或棒所制成。例如,在铜去除期间可使用铜参考电极,在镍去除期间可使用镍参考电极,在锡去除期间可使用锡参考电极等,而此类电极的表面的一部分与处理电解液直接接触。针对参考电极使用与将从衬底去除的金属相同的金属是有利的,因为此类参考电极相对于零电流操作点会有零(或几乎是零)开路电位且比常用的参考电极操作更长且更稳定。在某些情况中,当金属电极被暴露至所选择的电蚀刻电解液时可能会形成隔膜(氧化物或盐类隔膜),使其为较不偏好的参考电极选择。更通常地,可使用各种不同类型的参考电极,其包含但不限于所包含的电解质不同于电解质处理溶液者,如饱和甘汞电极(Hg/Hg2Cl2或SCE)、Hg/HgSO4电极以及Ag/AgCl电极。应注意,在使用鲁金(Luggin)毛细管的这些实施方案中,参考电极的物理位置可靠近或远离衬底。上述离晶片5mm的参考距离是参考电极的最靠近的点,或参考电极与晶片之间构成鲁金连接的电流的限制与孤立线的最靠近的点。参考电极感测鲁金毛细管的开放尖端处的溶液电位。因此,参考电极也可与池分离而远程地加以容纳并通过所谓的“鲁金”毛细管连接,其中鲁金毛细管的开口距离晶片5mm或更短。鲁金毛细管也称为鲁金探针、鲁金尖端、或鲁金-哈伯毛细管。在所示的实施方案中,参考电极位于阳极电解液中衬底支撑件1的径向外侧。在许多实施方案中此类外缘位置是优选的,因为参考电极优选应不会干扰靠近衬底的工作表面的电镀电流。在某些实施方案中,在电化学金属去除期间参考电极在衬底的工作表面上的覆盖区(电极对衬底表面上的投影)为零。
参考电极及设备的其他组件与控制器31电交流,控制器31具有处理器与内存,且具有用于控制设备操作的程序指令。例如,电连接件30可将参考电极29与控制器31连接。控制器可包含被用于进行本文中所述的任何方法的程序指令。控制器可处理参考电极所提供的电位信息且可调整提供至被阳极化偏置的衬底的电流和/或电位以响应测量到的电位,从而控制电化学金属去除处理。在一示例性实施方案中,参考电极由从衬底去除的相同金属所制成、被浸没于阳极电解液中且位于衬底附近的离子阻性离子可渗透的组件的上方(但不必是其上)。此类位置最小化衬底与参考电极之间的电压降并改善电位读值的准确度。
图21显示可用于产生及维持衬底的工作表面附近的横流的系统的俯视图。离子阻性离子可渗透的组件19的周边被横流限制环23部分围绕,横流限制环23被设计为形成在组件与衬底的工作表面之间的间隙的侧壁。弧形横流注射歧管21利用间隙的入口25注射电解液。电解液流由箭头显示。电解液流以横向方式朝向出口27,出口27位于相对于衬底周边(或衬底支撑件的周边、或离子阻性离子可渗透的组件的周边)实质方位角相对位置处。
设备优选被配置为提供具有横跨衬底中心至少约3cm/秒的速度的横流。在某些实施方案中,优选是提供具有横跨衬底中心至少10cm/秒(如介于约10-90cm/秒或介于约20-80cm/秒)的横流速率的湍急的横流。此类相对高的横流速率可例如利用将电解液横向注射至靠近衬底的间隙中或使用往复桨运动来达到。
在不同的实施方案中,可利用一或多种下列机构来产生横流:(1)横向电解液流注射器;(2)被配置为使电解液流转向为横流的液流分流器;(3)离子阻性离子可渗透的组件,其位于旋转衬底的中心处或靠近旋转衬底的中心的孔洞的数目、方位及分布均匀度有变化,例如这样的组件,其中靠近旋转工件的中心的孔洞中的至少一些孔洞具有偏离竖直的角度(更一般地,不垂直旋转衬底的电镀面的角度);(4)用于产生工件表面与离子阻性离子可渗透的组件之间的相对移动(例如相对线性或轨道移动)的横向分量的机构、(5)在电镀池中提供一或多个往复或旋转桨、或具有多个桨或鳍片的板(例如桨轮或叶轮),在板移动时用于迫使流体至少部分横越晶片;以及(6)附接至液流塑形板或靠近液流塑形板的旋转组件,其偏离工件的旋转轴。在某些实施方案中,设备包含晶片支撑件,晶片支撑件为模块/处理站的一部分,其中晶片支撑件留在模块和/或处理站中但可在处理站或模块内旋转以及上下移动,例如晶片支撑件可具有蛤壳式设计。在另一实施方案中,晶片支撑件可与其所支撑的晶片从处理站去除并通过工具,形成密封并在非金属去除处理站的其他位置从载件释放晶片。
图22提供除镀池的一部分的横剖面概图,其示出利用来自阴极室13的阴极电解液流去除H2气泡。H2气泡33和/或粒子于阴极5处产生且如箭头所示朝向隔膜7上升。在隔膜处,气泡沿着隔膜/框组件的锥形壁移动直到其到达阴极室出口15为止。气泡随同经过导管35的阴极电解液的部分一起被去除,在某些实施方案中,导管35将阴极电解液与气泡的混合物输送至静止室,H2气体在静止室处与阴极电解液分离、被惰性气体稀释而被安全去除。图22A还显示参考电极29的位置以及在此实施方案中从参考电极向下并被配置为连接参考电极与控制器的电连接件30。
图22B中示出的实施方案显示气泡分离隔膜的替代性位置,其显示池2201的简化剖面图。池包含用于容纳水平放置的阳极衬底2205的阳极室2203以及用于容纳阴极2209的阴极室2207。在此实施方案中分离两室的隔膜2211相对于水平面以角度设置。例如,隔膜可为附接至池侧壁的平坦材料薄片使得其如所示位于倾斜位置中。在某些实施方案中,隔膜平面倾向水平面的角度介于约5-85°之间,如介于约10-60°之间。平面隔膜的倾斜定位促进传输气泡并从阴极室去除气泡。在所示的实施方案中,阴极室出口2213位于隔膜上部与池的侧壁的交接部附近,允许在气泡从阴极向上移动后利用阴极电解液去除气泡。
在更另一替代性实施方案中,可以竖直方位处理衬底使得衬底的工作表面的方位与水平面成90度角。在此实施方案中,分离阳极与阴极室的隔膜可竖直设置(平行于衬底表面),且阴极室出口可位于阴极室的上部中的任何位置处,只要能去除气泡和/或避免粒子到达工件即可。
图23提供了图22A中所示的实施方案的除镀池的一部分的横剖面概图,其示出了添加阴极电解液至阴极室。阴极电解液经由阴极室入口17进入阴极室13。阴极电解液通过耦合至入口17的导管提供,且在某些实施方案中阴极电解液从阴极电解液再循环回路(如从位于阴极电解液再循环回路中的储槽)提供。阴极电解液的流动由箭头所示。阴极电解液流至位于隔膜与池侧壁的交接部外缘的阴极电解液出口。一般而言,阴极电解液入口及出口的位置可变化,但其优选是设置以在阴极上方或通过阴极本身提供均匀对流。如上所述,一或多个出口优选位于池外缘,尽可能地靠近隔膜与池壁的交接部。
图24提供了电化学金属去除设备的概图,该电化学金属去除设备包含除镀池、静止室、阳极电解液再循环回路及阴极电解液再循环回路,其中两回路具有共享的储槽。在使用活性阴极且金属电镀至阴极上的效率高(例如,>95%的电流最终用来电镀金属)的情况中,可能不需要用于分离及稀释氢气的静止室。图24示出设备的组件之间的流体连接。在所示的实施方案中,所示的设备用于利用电解液从衬底电化学去除铜,电解液包含磷酸(H3PO4)的水性溶液及磷酸的一或多种铜盐(如Cu3(PO4)2)或基本上由其组成。例如,电解液可包含Cu2+、Cu+、H+、H2PO4 -、HPO4 2-以及PO4 3-的任何组合。应了解,所提供的设备可用于利用文中所述的其他电解液而电化学去除其他金属(如Ni、Co、Sn等)。设备包含除镀池9,除镀池9被隔膜7分割为阳极室11与阴极室13。阳极室11容纳被阳极化偏置的衬底3,在电化学金属去除期间当衬底上的铜层根据反应(3)与(4)电化学溶解时,衬底3会将Cu+与Cu2+离子释放至阳极电解液中。Cu2+通常在电解液中为主要的铜离子,而Cu+通常只存在极少量。阴极室13容纳阴极,反应(5)、(6)及(7)于阴极处发生。阴极处的氢气释放是将隔膜7置于阳极与阴极之间的主要驱动力,因为在阴极室中分离氢气是有利的。在所示的实例中,阳极电解液与阴极电解液的组成实质上相同,但阴极室中的阴极电解液包含H2气泡,而阳极室中的阳极电解液实质上不具有H2气泡,隔膜7阻挡H2气泡进入阴极室。所示的系统包含适于从阴极室去除一部分阴极电解液、处理被去除的阴极电解液并使处理过的阴极电解液返回阴极室的阴极电解液再循环回路。处理阴极电解液可包含下列的一或多者:从阴极电解液去除氢气气泡、使阴极电解液与阳极电解液混合、稀释阴极电解液(例如在与阳极电解液混合后)、从阴极电解液电解回收铜(例如在与阳极电解液混合后)、过滤阴极电解液、对电解液进行除气(例如通过接触件)、以及加热或冷却阴极电解液。在所示的实施方案中,阴极电解液再循环回路包含静止室37、储槽39、相关的流体接口、流体线、以及流体管理机构(如一或多个泵、电解液流计及过滤器)。包含磷酸、磷酸铜及氢气气泡的阴极电解液经由阴极室出口15离开并经由相关的流体线35而流至静止室37。静止室37被配置为从液态阴极电解液分离氢气气泡、以惰性气体稀释氢气以及从静止室去除经稀释的氢气。阴极电解液经由静止室阴极电解液入口40进入静止室37,且在一实施方案中经由曲折的路径通过静止室,曲折的路径通过来自阴极电解液混合物的浮力促进氢气分离。静止室还具有惰性气体入口41,惰性气体入口41系经由惰性气体线45连接至惰性气体源43(如N2源)。惰性气体经由入口41流至静止室中并允许与氢气混合。接着经稀释的氢气混合物流至静止室的气体出口47,然后可进行在经稀释的气体流中的氢气浓度的测量,然后将混合物去除至排放件49。在静止室37中已至少部分排除氢气后的阴极电解液经由阴极电解液出口51离开静止室然后通过流体线53流至储槽39。在某些实施方案中,静止室37与储槽39结合于紧凑的组件中且在这些实施方案中去除流体线53使得阴极电解液出口51与储槽阴极电解液入口55重合。
来自静止室37的阴极电解液经由储槽阴极电解液入口55进入储槽39。在图24所示的实施方案中,储槽39由阴极电解液再循环回路与阳极电解液再循环回路共享,且来自阳极电解液再循环回路的阳极电解液于储槽中与阴极电解液混合。如果有需要,在此阶段可以稀释剂(如含水磷酸)稀释混合物。从稀释剂源57经由稀释剂线59并通过储槽稀释剂入口61将稀释剂添加至储槽39。在某些实施方案中,稀释剂可包含浓度小于储槽电解液的浓度的铜盐。储槽还包含电解液去除接口63,如果储槽中的电解液的体积超过预定阈值,可通过电解液去除接口63将一部分电解液去除至排放件65。阴极电解液再循环回路通过下列方式完成:利用泵71经由电解液出口接口67与线69从储槽39去除一部分阴极电解液(已与阳极电解液混合),然后利用阴极电解液进入线73将一部分阴极电解液(已与阳极电解液混合)引导至阴极室13。已经再循环及处理的阴极电解液经由阴极电解液入口17进入阴极室13,由此完成再循环回路。
阳极电解液再循环回路被配置为从阳极室11去除一部分的阳极电解液、处理阳极电解液以及使经处理的阳极电解液返回阳极室11。处理阳极电解液可包含下列的一或多者:使阳极电解液与阴极电解液混合、添加稀释剂至阳极电解液(例如在其与阴极电解液混合后)、从阳极电解液电解回收铜(例如在其与阴极电解液混合后)、过滤阳极电解液以及加热阳极电解液。此外,阳极电解液再循环回路可被配置为测量一或多个阳极电解液特性,如密度、导电率及除镀池外的温度。在所示的实例中,阳极电解液再循环回路包含与阴极电解液再循环回路共享的储槽39、用于将阳极电解液从阳极室11输送至储槽39的流体线74、用于将阳极电解液从储槽39输送至阳极室11的流体线69与75、位于储槽39中的导电率仪77、及位于流体线81中的密度计79,在线75通至阳极室入口25前流体线81形成从线69形成回路。在再循环期间,阳极电解液经由阳极电解液出口27离开阳极室11并通过导管74流至储槽39,在储槽39处阳极电解液与来自阴极电解液再循环回路的阴极电解液组合。可如上述稀释阳极电解液(已与阴极电解液结合),且如果有需要可从储槽39去除一部分阳极电解液(已与阴极电解液结合)至排放件。在储槽中利用导电率仪77测量阳极电解液(已与阴极电解液结合)的导电率。接着利用泵71引导阳极电解液(已与阴极电解液结合)回到阳极室11。阳极电解液(已与阴极电解液结合)经由电解液出口接口67离开并流经线69(与阴极电解液再循环回路结合)。在接点83处,流体线69分支为流体线75(引导至阳极室11)与流体线73(引导至阴极室13)。一部分阳极电解液(已与阴极电解液混合)通过回路81,在回路81中利用密度计79测量电解液的密度。接着阳极电解液(已与阴极电解液混合)经由阳极室入口25重新进入阳极室11。
在某些实施方案中,利用安装在储槽39、阳极室11和/或阳极电解液再循环回路中的加热器加热电解液。在这些实施方案中,安装于储槽39或阳极室11中加热器附近的温度传感器用于与系统控制器通讯并调节温度。
所示的系统还包含衬底冲洗机构,衬底冲洗机构连接冲洗液(如去离子水)源85与冲洗液输送线87。线87可与喷嘴耦合且可被配置为在电化学金属去除后冲洗衬底以从衬底去除电解液。
在替代的实施方案中,阴极电解液再循环回路与阳极电解液再循环回路并未共享组件。此实施方案示出于图25中,图25提供电化学金属去除设备的概图,电化学金属去除设备具有两个分离的再循环回路。在此实施方案中,阳极电解液再循环回路与图24中所示的阳极电解液再循环回路相同但储槽39并未接收来自阴极电解液再循环回路的任何阴极电解液。在此实施方案中,阴极电解液再循环回路包含静止室37。然而,与图24的共同储槽实施方案不同,经再循环的阴极电解液并非从静止室流至储槽而是在氢气去除后回到阴极电解液室。阴极电解液经由阴极电解液出口51离开而进入流体线52中,然后在泵72的协助下经由阴极电解液入口17进入而回到阴极电解液室13。阴极电解液再循环回路可包含一或多个传感器以分别测量阴极电解液特性。例如在所示的实例中,导电率仪78位于静止室中且被配置为测量阴极电解液的导电率。此外,从阴极电解液线52分支的流体回路54配有密度计80,密度计80被配置为测量阴极电解液的密度。在某些实施方案中,阴极电解液再循环回路可被配置为独立于阳极电解液再循环回路而接收稀释剂和/或电解液成分以响应阴极电解液再循环回路的传感器所提供的信息。例如可从酸源58经由酸输送线60通过接口62将酸溶液投配至静止室37。在所示的实施方案中,阳极电解液再循环回路与阴极电解液再循环回路在池外并无流体或离子交流。然而在替代的实施方案中,阳极电解液再循环回路可例如经由阴极电解液储槽溢流管从阴极电解液再循环回路接收阴极电解液。还应注意,虽然在前面的实施方案中阳极电解液与阴极电解液具有实质上相同的组成(包含实质上相同浓度的相同成分),但在其他实施方案中阴极电解液与阳极电解液具有不同组成且阴极电解液与阳极电解液中的成分的浓度是利用分离的阳极电解液与阴极电解液传感器以及成分输送系统所分别管理。在使用具有不同组成的阳极电解液与阴极电解液的一实例中,维持阴极电解液实质上无金属离子。在此实施方案中,分离的阴极室(SCC)通过隔膜与阳极室分离,隔膜能实质上避免存在于阳极电解液中的金属离子(如从衬底溶解的铜离子)传输进入阴极室。此分离的阴极电解液室实施方案(或SCC)能使实质上不同的化学组成暴露至阳极/衬底及阴极。在此情况下,SCC隔膜会抑制和/或避免阳极电解液中的铜(或其他金属)离子传输到达阴极而电镀至阴极上。此类电镀可能是树突状(dendritic)或不规则的,且可造成粒子形成而劣化池操作。因此在某些实施方案中有SCC且SCC中的电解液实质上不包含从晶片去除且包含于阳极电解液中的金属(如铜)。例如,阴极电解液可包含酸,此酸可能是与阳极电解液中所包含的酸相同类型的酸。在某些实施方案中,由于酸会被氢气生成阴极反应所消耗,因此通过直接添加酸至SCC或通过将SCC加至阴极电解液再循环回路,周期性地将酸补充给SCC。
图26提供静止室/储槽的组合组件的剖面图。静止室37占据组件的右前部且通过侧壁(包含侧壁89)及底壁而与储槽39分离,其中底壁具有开口51使阴极电解液从静止室37流至储槽39。由于静止室与储槽为交流的容器,两容器中的电解液水平通常相同且由水平91所显示。通过具有开口40的导管35将来自阴极室的阴极电解液(例如酸、金属盐及氢气气泡的混合物)引导至静止室。在静止室中阴极电解液经由挡板93所定义的曲折路径向下流动,如箭头显示。在此过程中氢气气泡从阴极电解液分离,且上升通过阴极电解液到达阴极电解液水平91上方的空间。在静止室的底部处,阴极电解液经由开口51进入储槽。静止室中的在氢气在阴极电解液上方的空间中与惰性气体(如N2)混合,然后随惰性气体流引导流出静止室。在所示的实施方案中,惰性气体经由开口41流至静止室中,且氢气与惰性气体的混合物经由侧壁中的开口(未显示)离开静止室然后流经引导至排放件的开口47。静止室被配置为从电解液(至少部分地)分离氢气气泡并被配置为利用惰性气体稀释经分离的氢气。在某些实施方案中,稀释氢气使得紧接在经由排放件释出之前氢与惰性气体的混合物中的氢气浓度低于4体积%(4%为空气中氢气的爆炸下限(LEL)),更优选是低于约1体积%。优选是配置氢气与惰性气体的混合物使得氢气浓度低于在空气中其混合物的爆炸下限(LEL),即便混合物中的所有惰性气体皆被O2取代。在一实例中,0.8L的H2由20L的N2所稀释。应注意,通过测量通过除镀池的电荷量来估算阴极电解液中H2的最大量,因为H2的最大量与通过的电荷成比例。此外,在某些实施方案中通过可置于紧接在气体混合物进入排放件之前的氢气传感器测量H2浓度。在某些实施方案中,惰性气体以介于约20-30L/min的流率连续流经静止室,且在某些实施方案中利用H2传感器所提供的反馈,调整流率使得H2浓度低于预定浓度(如低于4体积%)。
图27显示了根据一实施方案的储槽39的剖面图。为了维持清晰度,未显示相邻静止室的细节。储槽39具有用于经由线74从阳极电解液室接收阳极电解液的进入接口72。储槽还具有用于从静止室接收阴极电解液的入口。在此图中并未显示入口。在所示的实施方案中阴极电解液与阳极电解液在储槽中混合,利用导电率仪77测量经混合的电解液的导电率。接着电解液从储槽先通过位于储槽中的过滤器95而返回再循环回路。过滤器95可为位于储槽中的多个过滤器中的一者且适于过滤电解液使其不含任何剩余的氢气气泡。在一实施方案中,在储槽中使用两过滤器。在一实施方案中过滤器的位置使得电解液向下(而非横向)通过过滤器。此类竖直定位的过滤器促进氢气气泡分离。
在所示的实施方案中,从稀释剂源通过稀释剂线59将稀释剂添加至储槽39,稀释剂线59通过稀释剂入口61进入储槽。从图27所示的透视图可见,稀释剂线59在靠近储槽39的底部、靠近线74的出口、在引导至泵71的线后方终止。这确保来自阳极电解液返回线74的电解液与稀释剂快速混合。
在某些实施方案中泵71被配置为产生比储槽中的压力低的压力,使得来自储槽的电解液通过吸力而被吸引至与流体线及泵耦合的过滤器。在所示系统中这比使用泵增加储槽中的压力更有利,因为增加压力可能非所期望地导致气体溶解至电解液中。
储槽还包含电解液水平传感器97,其被配置为测量储槽中的电解液的水平并在超过值水平时与控制器通信。储槽还包含被配置为去除电解液至排放件65的出口63。在储槽的上部处可包含一或多个用于导入空气的开口,如气体入口99。
图28A与28B提供根据使用活性阴极的某些实施方案的除镀池的一部分的剖面图。所示实施方案中的阴极大致上为碟形且在电镀期间的位置与衬底相对。在某些实施方案中,衬底直径与阴极直径的差异小于30%,如小于25%。这对均匀度是有利的。此外,阴极的相对大表面积有助于减少阴极处的电流密度,这可最小化阴极与电解液之间的非所期望的互动。
图28A显示金属(如铜)电镀至活性阴极上之前的池,而图28B显示在从衬底去除金属并将金属电镀至活性阴极上之后的相同池。在所示的实施方案中,设备被配置为使电解液能进入阴极室中并使电解液沿着向上方向通过在活性阴极中所制造出的开口。设备还被配置为使电解液分别在两位置处进入阳极室中-高于离子阻性离子可渗透的组件的位置及低于组件的位置。阳极室的入口及阳极室的出口定位成接近方位角相对的位置处以在衬底表面附近产生横向电解液流。
在图28A中示出了除镀池2200的一部分。除镀池包含容纳活性阴极的阴极室以及容纳离子阻性离子可渗透的组件2201与衬底(未显示)的阳极室。阳极室与阴极室由隔膜框2203分离,隔膜框2203支撑离子可渗透隔膜。大致上,隔膜不需是离子选择性的。隔膜被配置为避免可在活性阴极处产生的金属粒子进入阳极室从而接触衬底。具有横流限制结构2202的离子阻性离子可渗透的组件2201被设置于隔膜框2203上并与其附接。隔膜框位于阴极室上方并且附接且密封阴极室壁2204。
流体注射歧管2205用于将流动的电解液馈送至离子阻性离子可渗透的组件2201与隔膜框2203之间的腔室中及馈送至离子阻性离子可渗透的组件与衬底之间的间隙中。除了馈送至阳极室中的流体流外,有额外的流体流馈送至阴极室中。流体通过导管2208注射至阴极室2207中,且接着在所示的实例中流过扩散板2209,然后通过及绕过阴极2210。接着阴极电解液经由在隔膜框2203下方的阴极室中的间隙离开阴极室并经由另一系列导管(未显示)离开而到达歧管2006处,且最终离开池。阴极2210在其外缘处被阴极室底部中的突出部2211支撑。在所示的实施方案中,阴极2210在其边缘处具有多个凹口(沟槽)。当阴极被置于突出部2211上时,这些凹口形成开口,允许流体进入阴极下方的阴极室中并在阴极外缘处通过而进入阴极室的主体区。参考图28B,在一或多片衬底的除镀期间,金属2212被电镀至阴极2210上。在某些实施方案中,允许金属在阴极上累积到至少约1cm的厚度,如介于约1.5-3cm之间的厚度,直到从阴极室去除阴极为止。
当金属被沉积至阴极上时,阴极的重量可大幅增加且可能难以从池去除阴极。在某些实施方案中,阴极被设计或配置以在其导电表面上包含多个非导电性的固定组件,其中固定组件被配置为附接至一或多个柄,一或多个柄可用于将阴极在其使用后举高并从池去除。
在某些实施方案中,阴极包含多个孔口使电解液向上流经阴极时可通过阴极。此外,在阴极边缘处制造出的凹口使阴极被置于阴极室中的突出部上而使得凹口能形成外缘开口之后,让电解液能够在阴极边缘处向上移动。
在图28A与28B中所示的实施方案中,阴极包含柄附接用的非导电性的固定组件、与从阴极表面上向延伸的非导电性管适配的穿孔、以及在阴极边缘处的凹口。
图29A中显示了此类阴极的俯视图,图29C中显示了透视图。图29D示出了具有附接至固定组件的柄的相同阴极。图29B为适配至阴极的多个穿孔中的一个穿孔中的绝缘管的一部分的图。
回到图29A-29D,阴极具有大致上碟形的主体2401且可由不锈钢或任何可电镀的金属所制成。此碟已被加工以能够和数个非导电性的(如塑料的)组件适配。一系列流通绝缘塑料管2402附接至阴极2401且适配至阴极中的开口。图29B中示出了适配,其显示通过管2403中的沟槽及夹环2404而附接至阴极的塑料管2402。每一管通过形成在阴极中的孔口且通过夹环而附接至阴极。在某些实施方案中,在高度上,管延伸超过阴极的碟形体1.2-4.5cm的高度。管的高度优选应大于将被电镀至阴极上的金属的突出厚度。
流通管被配置为允许阴极室内的对流并允许金属累积在阴极表面上。管还避免金属累积阻塞通孔。
在此实施方案中,阴极具有设置在外缘处的凹口(沟槽)2405,当阴极被安装于池中且支撑边缘2211位于阴极室外缘时,凹口(沟槽)2405使流体有额外的路径得以围绕阴极的边缘流动。这些凹口2405与流通管2402的组合为电解液提供数个路径使阴极室中能有电解液的对流。
阴极还包含位于中央的安装闩2213,安装闩2213用于将阴极置于设备的中央处且还驱使阴极的底部进入阴极电总线终端2214中。安装闩通过塑料绝缘盖和力传输件2215与电解液隔绝,力传输件2215也可容纳用于避免闩头2213暴露至电解液并在阴极寿命结束时(当金属已电镀至阴极上达其最大厚度,即刚好低于流通管的开口时)使闩头2213能被去除的密封盖2216。各种O形环及垫圈密封件可避免组件的金属部分(如闩2213及阴极电总线终端2214)暴露至电解液,且有助于避免电镀至这些部分上以及避免使各种金属安装件通过电镀连接。还包含数个(显示四个)非导电性的(如塑料的)安装柱2406,其中该安装柱被配置为附接至柄2408。利用闩2409将手动去除柄2408旋至螺纹2407中。柱2406还被旋至阴极的相对侧上以使另一组闩能够从背侧将柱2406稳固地附接至阴极2401上。优选地选择安装柱2406的位置使得所有的柱位于阴极碟上的不同径向位置处。如所示,安装柱2406径向错开以避免因非导电性安装柱的存在而在晶片衬底上的特定半径处产生被暴露至显著较少量的电流的区域。没有两个柱2406位于相同半径处,也没有两个柱2406使表面在晶片于阴极2401上方作360度旋转期间阻挡晶片的任何部分被暴露至相同的投影半径。当阴极被电镀至需去除阴极的一定厚度时,利用柱2406的孔洞内的螺纹通过闩2409使柄2408附接至柱2406。这使得能够快速有效率地将板连带附接的电镀的金属呈一体地去除。去除程序涉及旋松盖2216以暴露安装闩2213、旋松闩2213、然后拉起柄2408。
在某些实施方案中,使用利用活性阴极的设备(如图28A中所述的设备)与特别开发的电解液。这些电解液使得能够有效率地电蚀刻及电平坦化具有掩模的衬底且被配置为在阴极上达到高效率电镀。例如,可以高电荷效率(例如>95%的电荷效率)电镀极厚的膜(如至少2cm厚或至少2.5cm厚的膜)。电解液被配置为优化沉积在阴极上的膜的形态,例如在阴极上形成紧实、非粉末状、非树突状的金属层。当电解液与图28A中所示的阴极室一起使用时,电解液的所述优点尤其明显。在一实施方案中,所提供的电解液具有极高的金属浓度与高酸浓度且可额外地包含在不抑制或干扰衬底表面处的氧化处理的情况下抑制活性阴极表面处的电镀的添加物。例如,在某些实施方案中,电解液包含浓度高于30g/L的铜及浓度高于625g/L的磷酸且还包含电镀抑制剂添加物和/或另一添加物。合适的电镀抑制剂(如铜电镀抑制剂)的实例包含选自聚亚烷基二醇及聚环氧烷类别的化合物。例如可使用经取代或未经取代的聚乙二醇和/或聚环氧乙烷作为添加物。此外,可在电解液中包含少量的氯离子作为添加物。
电化学金属去除用的设备可为还包含电镀设备的系统的一部分,其中系统被构建以在电镀后将衬底传输至电化学金属去除设备。图30显示一示例性整合系统的概图,此整合系统可被配置为进行多种操作,包含电镀与电化学金属去除。如图30中所示,整合系统307可包含多个电镀模块,在此例中包含三个分离的模块309、311及313。每一电镀模块通常包含用于在电镀期间容纳阳极与电镀溶液的池,以及在电镀期间用于将晶片支撑于电镀溶液中并旋转晶片的晶片支撑件。图30中所示的电镀系统307还包含具有三个分离的电化学金属去除模块315、317及319的电化学金属去除系统。模块中的每一者皆包含如本文中所述用于容纳阴极与晶片支撑件的除镀池。此外,整合系统307可包含一或多个电填充后模块(PEM,未显示),其功能可包含完全冲洗晶片上的任何电解质溶液与污染物和/或干燥晶片。根据实施方案,每一PEM可用于进行任何下列的功能:边缘去除(EBR)、背侧蚀刻、晶片的酸清理、在晶片被模块309、311及313中的一者电填充后的晶片的冲洗与干燥。整合系统307还可包含被配置为容纳稀释剂并将稀释剂输送至电化学去除模块的化学稀释模块321以及被配置为容纳电化学去除模块所用的电解液的中央电解液浴槽323。中央电解液浴槽323可为用于容纳电化学金属去除模块中用来作为电解液的化学溶液的槽。整合系统307还可包含氢气管理系统333,氢气管理系统333可包含一或多个静止室,以及储存惰性气体并将惰性气体输送至静止室的惰性气体源。在某些实施方案中,过滤与泵送单元337过滤中央浴槽323用的电解质溶液并将其泵送至电化学金属去除模块。电镀和/或电化学金属去除模块可包含其自己的稀释与投配模块(如用于将电镀添加物添加至电镀溶液)、其自己的过滤与泵送单元、及其自己的中央电解液浴槽(未显示)。在某些实施方案中,电化学金属去除模块与电镀模块以双层布置的方式竖直堆叠,电镀模块占据第一层而电化学金属去除模块占据不同的层。在其他实施方案中,电镀模块可堆叠在工具的一区中而电化学金属去除模块可堆栈在工具的不同区中。
最后,在某些实施方案中,电子单元339可具有系统控制器的功能,提供操作电镀系统307所需的电子与界面控制。系统控制器通常包含一或多个内存装置以及被配置为执行指令使得整合系统可进行所其预期的处理操作的一或多个处理器。包含用于根据本文中所述的实施方案控制处理操作的指令的机器可读介质可耦合至系统控制器。单元339还可针对系统设置电源。
在操作中,包含后端机械手臂325的机械手可被配置为从晶片盒(如晶片盒329A或329B)选择晶片。后端机械手臂325可利用真空附接或某些其他的可行附接机制而附接至晶片。
前端机械手臂340可从晶片盒(如晶片盒329A或晶片盒329B)选择晶片。晶片盒329A或329B可为前开口统一舱(FOUP)。FOUP为容器,其被设计用来安稳地将晶片支撑在受控环境中并使晶片能通过设有适当加载接口及机械搬运系统的设备而被去除以接受处理或测量。前端机械手臂340可利用真空附接或某些其他附接机制支撑晶片。前端机械手臂340可与晶片盒329A或329B、传送站350、或对准件310连接。后端机械手臂325可从传送站350获取晶片。传送站350可为槽口或位置,前端机械手臂340与后端机械手臂325可在不使晶片通过对准件310的方式下将晶片传输至槽口或位置或从槽口或位置拿取晶片。应注意,在某些实施方案中,传送站350可用作晶片边缘成像模块(或用于定位边缘成像模块)。然而在某些实施方案中,为了确保晶片能在后端机械手臂325上适当对准以精准地将晶片输送至电镀模块,后端机械手臂325可利用对准件310对准晶片。后端机械手臂325也可将晶片输送至电填充模块309、311或313中的一者或将晶片输送至电化学金属去除模块315、317及319中的一者。
为了确保晶片能在后端机械手臂325上适当对准以精准地将晶片输送至电镀模块309、311或313或者电化学金属去除模块315、317及319,后端机械手臂325可将晶片传输至对准件模块331。在某些实施方案中,对准件模块331包含对准臂,后端机械手臂325会将晶片推向对准臂以抵靠对准臂。当晶片适当地抵靠对准臂对准时,后端机械手臂325移动至相对于对准臂的默认位置。在其他实施方案中,对准件模块331判断晶片中心以使后端机械手臂325从新位置拾起晶片。接着后端机械手臂325重新附接至晶片并将晶片输送至电镀模块309、311或313中的一者或电化学金属去除模块315、317及319中的一者。
因此,在利用整合系统307在晶片上形成金属层的典型操作中,后端机械手臂325将晶片从晶片盒329A或329B传输至用于电镀前居中调整的对准件模块331,接着将晶片传输至电镀用的电镀模块309、311或313,接着将晶片传回电平坦化前居中调整用的对准件模块331,接着将晶片传输至边缘去除用的电化学金属去除模块315、317或319。当然,在某些实施方案中,可省略中间的居中/对准步骤并可直接在电镀模块与电平坦化模块之间传输晶片。在某些实施方案中,将晶片从电填充模块传输至PEM模块然后从PEM模块传输至电化学金属去除模块。
在某些情况中,处理涉及先从晶片支撑晶片盒或FOUP(前开口统一舱)去除晶片、将晶片传输至真空预湿站(在此处包含通光致抗蚀剂特征的晶片的表面在次大气压下的无气泡湿化处理中完全湿化)、将已经湿化的晶片传输至第一电镀模块并在第一电镀模块中电镀第一金属(如铜)、在第一电镀模块中回收电镀溶液并冲洗晶片、从第一电镀模块去除晶片并将表面仍湿的晶片传输至电平坦化模块、在电平坦化模块中处理晶片、在电平坦化模块中回收电平坦化溶液并冲洗晶片、接着将晶片传输至处理后模块(PTM)并在该处至少完全冲洗并干燥晶片,接着使已干燥的晶片返回晶片盒或FOUP。某些实施方案修改上述程序以包含:在电平坦化模块中完成处理后,将晶片传输至另一电镀站以对衬底电镀与在第一次访问的电镀模块中所沉积的相同金属(如铜),或在访问电平坦化模块以及在电平坦化模块中接受处理之前将晶片传输至一电镀站以电镀不同的金属(如镍、锡、或锡银合金)。在优选的实施方案中,先在第一电镀模块中以铜电镀晶片,然后将晶片传输至第二电镀模块并在第二电镀模块中电镀锡(此步骤可选择性地跳过)。接下来,将晶片传输至第三电镀模块并在第三电镀模块处沉积锡或锡银合金,接着将晶片传输至电平坦化模块并在电平坦化模块处去除一部分的锡银隔膜,由于后者处理的结果,产生锡银的被改善的厚度分布。厚度分布的改善包含独立特征中(特征内分布)的改善及晶片上方的叠层结构的整体分布(管芯内及晶片内厚度分布)的改善,其中改善是与未在最终电平坦化模块中进行处理的结构相比。在此优选实施方案的一实现方式中,在锡银平坦化用的电平坦化模块中所使用的电解质溶液为浓度高于45重量%的硫酸或甲磺酸溶液。
电镀操作可涉及将晶片载入蛤壳式晶片支撑件中并将蛤壳式晶片支撑件降低至将进行电镀的电镀模块309、311或313中的一者的池内所包含的电镀浴中。池通常包含作为将电镀的金属源的阳极(但阳极可以是远程的)以及电镀浴溶液,电镀浴溶液可被中央电填充浴储槽(未显示)供给以及用来自投配系统的选择性化学添加物供给。在选择性的EBR后,通常会清理、冲洗与干燥晶片,然后将晶片导入电化学金属去除模块315、317及319中的一者,电化学金属去除模块可类似地使用可被配置为将衬底降至电化学金属去除电解液中的蛤壳式晶片支撑件。
最后应注意,在完成电化学金属去除处理之后及在PTM模块中选择性的冲洗与干燥后,后端机械手臂325可收回晶片并将其返还晶片盒329A或329B。从该处,晶片盒329A或329B可被提供至其他半导体晶片处理系统。
图31中示意性地示出被配置成用于电沉积与电化学金属去除的整合设备的替代性实施方案。设备3100具有成对的或多个“双重”配置形式的成组的电镀和/或电化学金属去除池3107,每一池包含含电解液的浴。除电镀与电化学金属去除本身外,设备3100可进行各种其他电镀或电平坦化相关的处理及子步骤,例如旋转冲洗、旋转干燥、金属与硅的湿式蚀刻、无电沉积、预湿与预化学处理、还原、退火、光致抗蚀剂剥除以及表面预活化。在图31中示意性显示从上往下看的设备3100,且在图中仅显示单一水平或“底板(floor)”,但本领域技术人员应当明白,此类设备(如Lam Research的SabreTM 3D工具)可具有彼此上下“堆叠”的两或更多水平,每一水平可能具有相同或不同类型的处理站。在某些实施方案中,电镀站及电化学金属去除站被设置在工具的不同水平上。在其他实施方案中,单一水平可包含电镀站与电化学金属去除站两者。
再次参考图31,通常经由前端装载FOUP 3101将待被电镀的多个衬底3206馈送至设备3100,在此实例中,通过前端机械手3102将待被电镀的多个衬底3206从FOUP搬运至设备3100的主衬底处理区,前端机械手3102可以多维度从多个接取站3108中的一者收回被转子3103驱动的衬底3106并将衬底3106移动至多个接取站3108中的另一者,在该实例中多个接取站显示两个前端接取站3104及两个前端接取站3108。前端接取站3104与3108可包含例如预处理站、旋转冲洗干燥(SRD)站。前端机械手3102的侧至侧的横向移动是利用机械手轨道3102a来完成。每一衬底3106可被杯状/锥状组件(未显示)所支撑,杯状/锥状组件由连接至马达(未显示)的转子3103所驱动,马达附接至安装架3109。在此实例中亦显示四个“双重”电镀和/或电化学金属去除池3107,因此总共八个池3107。电镀池3107可用于针对含铜结构电镀铜及针对焊料结构电镀焊料材料。在多个电镀站3107中的一者中电镀金属后,衬底被传送至设备的相同水平上或设备3100的不同水平上的电化学去除池。系统控制器(未显示)可耦合至电沉积设备3100以控制电沉积设备3100的部分或全部特性。可程序化或以其他方式配置系统控制器以执行根据前文中所述的处理的指令。
本发明的另一方面为被配置成完成本文中所述的方法的设备。合适的设备包含用于完成处理操作的硬件以及具有用于控制根据本发明的处理操作的指令的系统控制器。系统控制器通常包含一或多个内存装置以及一或多个被配置为执行指令的处理器使得设备进行根据本发明的方法。包含用于控制根据本发明的处理操作的指令的机器可读介质可耦合至系统控制器。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何处理,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,他们组合以控制室上的处理。
示例的系统可以包括但不限于:电化学金属去除系统或模块、电镀系统或模块、等离子体蚀刻室或模块、沉积室或模块、化学金属蚀刻室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
一般而言,控制器可包含用于施行本文中所述的任何方法的程序指令。在某些实施方案中,控制器包含用于在电蚀刻状态中、在电抛光状态中、在后接电抛光状态的电蚀刻状态中去除金属的程序指令。控制器还可从电化学金属去除设备的一或多个传感器接收反馈,且可包含用于基于传感器读数而将一或多种流体添加至除镀池的程序指令。
图案化方法/设备:
上述设备/处理可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实施例
实施例1.获得基于温度、导电率及密度的用于判断H3PO4与Cu2+离子的浓度的经验式。经验式对由以下条件下的磷酸及磷酸铜所实质组成的溶液有效:介于约20-50℃的温度范围、磷酸浓度范围介于约45-50重量%、Cu2+浓度范围介于约30-60g/L。经验式是利用具有已知酸及铜浓度的溶液测量导电率为温度与浓度(针对酸及铜离子分开)的函数以及密度为浓度(针对酸及铜离子分开)的函数所决定。测量密度为温度的函数并非必须,因为溶液密度对温度有极弱的依赖性。
表2列出用于决定经验式的具有已知酸及铜浓度的7种溶液。针对每一溶液测量密度与导电率。密度于19℃下测量。导电率于19℃、29℃、40℃以及49℃下测量。
[表2]用于决定经验式的溶液
溶液 H3PO4(重量%) Cu2+(g/L)
1 0.5 57.7
2 0.45 29.1
3 0.5 28.9
4 0.5 43.4
5 0.475 29.0
6 0.45 58.1
7 0.475 43.4
利用表2所提供的数据决定磷酸的浓度为温度、密度及导电率的函数的经验关系。此外,利用表2所提供的数据决定铜离子的浓度为温度、密度及导电率的函数的经验关系。这些关系用于在电化学金属去除设备的操作期间基于电解液、导电率及密度读值来判断铜及磷酸的浓度。
实施例2.需要从电化学金属去除设备去除以维持期望的铜离子稳态浓度(铜离子的目标浓度)的电解液量由计算模型所决定。此实施例中的模型假设:所去除的电解液的体积等于无铜稀释剂的体积;在池中的铜去除速率约为2.4mg/秒;以及系统中的电解液的总体积为60L。
图32显示了电解液去除速率(每一池每小时以升单位的流出速率(bleed rate))为稳态铜浓度的函数的所得依赖性。可见在较高的稳态铜浓度,需要较低的电解液去除(及稀释剂添加)速率。
实施例3.将电氧化处理施加至Cu特征以修正特征均匀度。所用的衬底为300mm直径的硅晶片,其有的Cu晶种层且其上涂覆有50μm厚的光致抗蚀剂。特征通过选择性去除光致抗蚀剂而利用稀疏的布局(50μm直径的特征及200μm的中心至中心距离)及致密的布局(50μm直径的特征及100μm的中心至中心距离)形成重复性的管芯图案。目标特征厚度为30μm或60%光致抗蚀剂的特征深度。当这些特征在通常的条件(见表3)下被电镀且未施加电氧化后处理时,WID非均匀度为0.86μm而WIF非均匀度为3.0μm。
[表3]根据实施例3的电镀处理条件
待被用于电氧化后处理的晶片被电镀达到额外的12μm厚度,总共42μm或84%光致抗蚀剂的特征深度(见表3)。接着,晶片被传输至如上所述适合用于电氧化处理的池,其具有惰性不锈钢阳极与组合的静止室及阳极电解液/阴极电解液储槽。所用的横流速度为10L/min。电解液由30℃下的60g/L Cu的铜以及48重量%的磷酸所构成。在此电解液针对此模式的临界电位已预先决定约为0.5-0.6V。在6A的电流控制下去除4μm的铜,在电蚀刻状态中施加的电压相对Cu参考电极为约0.3V。接着在电抛光状态中0.7V的电位控制下去除8μm的铜。通过随着时间积分所施加的电流来得到已通过的电荷量而决定每一步骤的终点。
所得的特征显示0.36μm的WID非均匀度(相对于仅电镀有57%的缩减)及0.75μm的WIF非均匀度(相对于仅电镀有75%的缩减)。

Claims (56)

1.一种用于处理半导体衬底的方法,所述方法包含:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含多个通掩模金属特征;
(b)从由低于临界电位的电蚀刻、高于所述临界电位的电抛光以及后接高于所述临界电位的电抛光的低于所述临界电位的电蚀刻所组成的群组选择用于所述电化学金属去除的状态;以及
(c)在所选择的所述状态中,从所述多个通掩模金属特征电化学去除所述金属的一部分,同时改善所述金属的均匀度,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
2.根据权利要求1所述的方法,其进一步包含在所述电化学金属去除期间使用参考电极监测电位。
3.根据权利要求1所述的方法,其中,所选择的所述状态是低于所述临界电位的电蚀刻。
4.根据权利要求1所述的方法,其中,所选择的所述状态是高于所述临界电位的电抛光。
5.根据权利要求1所述的方法,其中,所选择的所述状态是后接高于所述临界电位的电抛光的低于所述临界电位的电蚀刻。
6.根据权利要求1所述的方法,其还包含在所述电化学金属去除期间通过所述半导体衬底旋转以外的方法沿着实质上平行于所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的所述电解液的横流。
7.根据权利要求1所述的方法,其中所改善的所述均匀度选自由管芯内均匀度、晶片内均匀度以及特征内均匀度组成的群组。
8.根据权利要求1所述的方法,其中,电化学去除所述金属的所述部分包括在电位控制条件下在低于所述临界电位下电蚀刻所述金属。
9.根据权利要求1所述的方法,其中,电化学去除所述金属的所述部分包括在电位控制条件下在高于所述临界电位下电抛光所述金属。
10.一种用于处理半导体衬底的方法,所述方法包含:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含被暴露的金属;
(b)阳极化偏置所述半导体衬底并将所述半导体衬底的所述工作表面浸没至电解液中;以及
(c)通过在低于临界电位的电蚀刻状态中去除金属,接着在高于所述临界电位的电抛光状态中去除金属而电化学去除所述金属的一部分,同时改善所述金属的均匀度,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
11.根据权利要求10所述的方法,其中所去除的所述金属为铜。
12.根据权利要求10所述的方法,其中所去除的所述金属为铜,并且其中,以相对于铜电极的在0.1-0.7V之间的电位下电蚀刻铜,接着以相对于铜电极的在0.7-2.0V之间的电位下进行电抛光,其中在所述电抛光期间使用的所述电位大于在电蚀刻期间使用的所述电位。
13.一种用于处理半导体衬底的方法,所述方法包含:
(a)将具有多个通掩模金属特征的半导体衬底提供至被配置成用于电化学金属去除的设备中;
(b)阳极化偏置所述半导体衬底并且将所述半导体衬底的工作表面浸没至电解液中;
(c)从所述多个通掩模金属特征电化学去除金属的一部分,使得各个通掩模特征内的金属厚度变化减少,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
14.根据权利要求13所述的方法,其中所去除的所述金属为铜。
15.根据权利要求13所述的方法,其还包含在所述电化学金属去除期间通过所述半导体衬底旋转以外的方法沿着实质上平行于所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的所述电解液的横流。
16.根据权利要求13所述的方法,其还包括在所述电化学金属去除期间在所述半导体衬底附近使用参考电极监测电位。
17.根据权利要求13所述的方法,其进一步包括将所述电解液中的金属离子的浓度维持在目标水平,使得在从所述半导体衬底上电化学去除所述金属的过程期间,所述金属离子的浓度不会波动离所述目标水平超过约5%。
18.一种用于从半导体衬底电化学去除金属的设备,所述设备包含:
(a)在从被阳极化偏置的半导体衬底电化学金属去除期间被配置成容纳所述半导体衬底的阳极室,其中所述阳极室具有用于将流体引导至所述阳极室的至少一入口;
(b)被配置成容纳阴极的阴极室,其中所述阴极室具有用于将流体引导至所述阴极室的至少一入口;以及
(c)介于所述阳极室与所述阴极室之间的离子可透过的分离件,其中,所述设备还包括用于测量靠近所述半导体衬底的电位或等效电位的参考电极,其中所述参考电极被定位为位于离所述半导体衬底的表面约5cm之内,或者被定位在电位等于在离所述半导体衬底约5cm内所测量到的电位的位置。
19.根据权利要求18所述的设备,其中所述阴极室的所述入口位于所述阴极附近,且其中所述阴极室还包含位于所述离子可透过的分离件附近的出口。
20.根据权利要求18所述的设备,其中所述离子可透过的分离件被配置成阻挡或抑制氢气气泡和/或金属粒子从所述阴极室转移至所述阳极室。
21.根据权利要求18所述的设备,其还包含阴极电解液再循环回路以及阳极电解液再循环回路。
22.根据权利要求18所述的设备,其还包含具有多个穿孔的阴极,其中所述设备被配置成使所述流体从所述阴极室中的所述至少一入口流过所述阴极的所述多个穿孔。
23.根据权利要求18所述的设备,其中,所述设备被配置为处理来自所述参考电极的数据,并将电化学去除处理维持在选定的状态。
24.根据权利要求18所述的设备,其中,所述设备还包括控制器,所述控制器包括程序指令,所述程序指令用于在低于临界电位的电蚀刻状态下致使从所述半导体衬底电化学去除金属。
25.根据权利要求18所述的设备,其中,所述设备还包括控制器,所述控制器包括程序指令,所述程序指令用于在高于临界电位的电抛光状态下致使从所述半导体衬底电化学去除金属。
26.根据权利要求18所述的设备,其中,所述设备还包括控制器,所述控制器包括程序指令,所述程序指令用于在低于临界电位的电蚀刻状态中致使从所述半导体衬底电化学去除金属,然后在高于临界电位的电抛光状态下致使电化学去除金属。
27.根据权利要求18所述的设备,其中,所述参考电极被定位为位于离所述半导体衬底的表面约5mm以内,或者被定位在电位等于在离所述半导体衬底约5mm内所测量到的电位的位置。
28.根据权利要求18所述的设备,其中,所述参考电极被定位成浸没到离开所述阳极室的所述流体中。
29.根据权利要求18所述的设备,其中,所述参考电极位于远处,并且经由鲁金毛细管与所述阳极室交流,其中所述鲁金毛细管的开口距离所述半导体衬底在约5cm之内。
30.根据权利要求18所述的设备,其中,所述参考电极是铜电极、镍电极或锡电极。
31.根据权利要求18所述的设备,其中,所述阴极室包括阴极,并且其中所述阴极包括:
(a)多个通道,其中所述多个通道被配置成用于使电解液流过所述阴极的主体;以及
(b)在所述阴极的边缘处的多个凹口,其中所述阴极具有大致碟形的主体。
32.根据权利要求18所述的设备,其还包括:机构,其被配置成沿着实质上平行所述半导体衬底的工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构。
33.一种用于从半导体衬底电化学去除金属的设备,所述设备包含:
(a)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(b)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(c)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(d)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
34.根据权利要求33所述的设备,其中被配置成提供所述电解液横流的所述机构包含:用于使所述电解液流入所述容器的入口以及使所述电解液流出所述容器的出口的机构,其中所述入口与所述出口位于所述半导体衬底的所述工作表面的周边位置的方位角相对部分的附近。
35.根据权利要求33所述的设备,其还包含离子阻性离子可渗透的组件,所述组件被配置成在所述电化学金属去除期间位于所述半导体衬底的工作表面约10mm内。
36.根据权利要求33所述的设备,其中所述设备还包含在所述电化学金属去除期间用于旋转所述半导体衬底的机构。
37.根据权利要求33所述的设备,其中被配置成提供所述电解液横流的所述机构包含:用于提供往复桨动作的机构。
38.根据权利要求33所述的设备,其中,被配置为提供所述电解液的横流的所述机构包括横向电解液注射器。
39.根据权利要求33所述的设备,其中,被配置为提供所述电解液横流的所述机构包括被配置为将冲击的电解液流转移至电解液横流的电解液分流器。
40.根据权利要求33所述的设备,其中,所述设备被配置为处理来自所述参考电极的数据,并将电化学去除处理维持在选定的状态。
41.根据权利要求33所述的设备,其中,所述设备还包括具有多个通道的离子阻性离子可渗透的组件,其中,所述设备被配置为在所述离子阻性离子可渗透的组件和所述半导体衬底之间的间隙中产生电解液横流。
42.一种用于处理半导体衬底的方法,该方法包括:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含被暴露的金属;
(b)阳极化偏置所述半导体衬底并将所述半导体衬底的所述工作表面浸没至电解液中;
(c)通过非所述半导体衬底旋转的方法沿着实质上平行于所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流的同时,电化学去除所述被暴露的金属的一部分并改善所述金属的均匀度,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
43.一种用于处理一个或多个半导体衬底的方法,该方法包括:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含被暴露的金属;
(b)阳极化偏置所述半导体衬底并将所述半导体衬底的所述工作表面浸没至电解质溶液中;
(c)通过将所述金属电化学溶解到所述电解质溶液中而从所述半导体衬底电化学去除金属的至少一部分,同时改善所述金属的均匀度;以及
(d)将所述电解质溶液中的所述金属离子的浓度维持在目标水平,使得在从所述衬底上电化学去除所述金属的过程期间,所述金属离子的浓度不会波动离所述目标水平超过约5%,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
44.根据权利要求43所述的方法,其中,所述电解质溶液包含酸,并且其中,所述方法还包括将所述电解质溶液中的所述酸的浓度维持在目标水平,使得在从所述衬底上电化学去除所述金属的过程期间,所述酸的浓度不会波动离所述目标水平超过约2%。
45.一种用于处理一个或多个半导体衬底的方法,该方法包括:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含被暴露的金属;
(b)阳极化偏置所述半导体衬底并将所述半导体衬底的所述工作表面浸没至电解质溶液中;
(c)通过将所述金属电化学溶解到所述电解质溶液中而从所述半导体衬底电化学去除金属的至少一部分,同时改善所述金属的均匀度;以及
(d)将所述电解质溶液的黏度保持在目标水平,以使得在从所述衬底电化学去除所述金属的过程中,所述电解质溶液的所述黏度波动不超过所述目标水平的预定量,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
46.根据权利要求45所述的方法,其还包括在(d)之后,在同一电化学金属去除设备中从多个半导体衬底上顺序地电化学去除金属,同时改善金属均匀度,并且同时将所述电解质溶液的所述黏度保持在所述目标水平,以使得在从所述多个衬底电化学去除所述金属的过程中,所述电解质溶液的所述黏度波动不超过所述目标水平的预定量。
47.一种用于处理一个或多个半导体衬底的方法,该方法包括:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含被暴露的金属;
(b)阳极化偏置所述半导体衬底并将所述半导体衬底的所述工作表面浸没至电解液中,其中所述电解液包含将从所述半导体衬底中去除的相同金属的离子,所述离子的浓度为至少约10g/L;以及
(c)通过将所述金属电化学溶解到所述电解液中而从所述半导体衬底电化学去除所述金属的一部分,同时改善所述金属的均匀度,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
48.根据权利要求47所述的方法,其中,所述电解液被配置为当金属被电化学溶解到所述电解液中时增加其黏度。
49.一种用于处理一个或多个半导体衬底的方法,该方法包括:
(a)将具有工作表面的半导体衬底提供至被配置成用于电化学金属去除的设备中,其中所述工作表面包含被暴露的金属;
(b)阳极化偏置所述半导体衬底并将所述半导体衬底的所述工作表面浸没至电解液中;以及
(c)从所述半导体衬底电化学去除所述金属的一部分,同时改善所述金属的均匀度,同时在所述电化学金属去除设备的阴极处产生H2,并且同时阻止H2气泡接触所述阳极化偏置的半导体衬底,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
50.根据权利要求49所述的方法,其中,通过位于所述阳极偏置的半导体衬底与所述阳极之间的离子可透过的分离件来阻止H2气泡接触所述半导体衬底。
51.一种处理半导体衬底的方法,所述方法包含:
(a)将具有多个通掩模凹陷特征以及在每一通掩模凹陷特征的底部处具有裸露导电晶种层的半导体衬底提供至电镀设备中;
(b)在所述电镀设备中利用包含金属盐、酸与一或多种被配置为改善电镀的均匀度的电镀添加物的电解液,将所述多个通掩模凹陷特征以金属至少部分地填充,其中第一通掩模凹陷特征中的填充速率与第二通掩模凹陷特征中的填充速率之间的比值为R1,并因此形成至少部分填充的通掩模特征,包括第一至少部分填充的通掩模特征和第二至少部分填充的通掩模特征;
(c)将所述衬底传送至被配置成用于电化学金属去除的设备;以及
(d)通过在电解液中从所述至少部分填充的通掩模特征电化学去除所述金属的一部分而改善已经电镀的所述金属的均匀度,所述电解液具有至少约4厘泊的黏度且不同于电镀电解液,其中所述第一至少部分填充的通掩模特征中的电化学金属去除速率与所述第二至少部分填充的通掩模特征中的电化学金属去除速率的比值大于R1,其中所述设备配置成用于电化学金属去除,其包括:
(i)容器,其被配置成在从所述半导体衬底电化学金属去除期间用于容纳电解液与阴极;
(ii)半导体衬底支撑件,其被配置成支撑所述半导体衬底,使得在从所述半导体衬底的所述电化学金属去除期间,所述半导体衬底的工作表面浸没于所述电解液中并且与所述阴极分离;
(iii)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(iv)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
52.根据权利要求51所述的方法,其中,所述电镀电解液包含金属盐、选自由硫酸和烷磺酸组成的群组的酸以及选自由电镀抑制剂和电镀整平剂组成的群组的添加剂。
53.一种从半导体衬底电化学去除金属的设备,所述设备包含:
(a)容器,其被配置为在从被阳极化偏置的半导体衬底电化学金属去除期间容纳电解液与氢气生成阴极,所述容器包含被配置为容纳所述被阳极化偏置的半导体衬底的阳极室以及被配置为容纳氢气生成阴极的阴极室;
(b)介于所述阳极室与所述阴极室之间的离子可透过的分离件,其中所述离子可透过的分离件被配置为抑制或避免氢气气泡自所述阴极室转移至所述阳极室;
(c)半导体衬底支撑件,其被配置为支撑所述被阳极化偏置的半导体衬底,使得在从所述半导体衬底电化学金属去除期间所述半导体衬底的工作表面浸没于电解液中并与气体生成阴极分离;以及
(d)与所述阴极室流体连接的静止室,其中所述静止室其被配置为从所述阴极室接收阴极电解液以及使氢气气泡自所接收的所述电解液分离并去除所述氢气气泡,其中所述设备配置成用于电化学金属去除,其包括:
(i)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(ii)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
54.根据权利要求53所述的设备,其中,所述设备被配置用于从所述阴极室去除H2气泡。
55.一种从半导体衬底电化学去除金属的设备,所述设备包含:
(a)容器,其被配置为在从所述半导体衬底电化学金属去除期间容纳电解液与阴极,其中所述设备被配置为在电化学金属去除期间阳极化偏置所述半导体衬底;
(b)半导体衬底支撑件,其被配置为支撑所述半导体衬底使得在从所述半导体衬底电化学金属去除期间所述半导体衬底的工作表面浸没于电解液中并与所述阴极分离;
(c)在所述容器中的用于将稀释剂添加至所述容器的入口;
(d)在所述容器中的用于从所述容器去除所述电解液的出口;以及
(e)至少两个测量装置,其用于在所述电化学金属去除期间测量所述电解液中的金属离子的浓度与酸的浓度,其中所述设备配置成用于电化学金属去除,其包括:
(i)机构,其被配置成沿着实质上平行所述半导体衬底的所述工作表面的方向提供与所述半导体衬底的所述工作表面接触的电解液横流,其中所述机构不同于用于旋转所述半导体衬底的机构;以及
(ii)参考电极,其用于测量靠近所述半导体衬底的电位或等效电位。
56.根据权利要求55所述的设备,其还包括与所述至少两个测量装置交流的控制器,其中,所述控制器被配置为调节向所述容器中添加所述稀释剂和从所述容器中去除所述电解液以在从所述半导体衬底电化学金属去除期间维持所述电解液的中的金属离子的目标浓度,以使所述金属离子的浓度不会波动离所述目标浓度超过5%。
CN201880062982.3A 2017-07-28 2018-07-23 通掩模互联制造中的电氧化金属去除 Active CN111149198B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762538202P 2017-07-28 2017-07-28
US62/538,202 2017-07-28
US16/040,407 US10692735B2 (en) 2017-07-28 2018-07-19 Electro-oxidative metal removal in through mask interconnect fabrication
US16/040,407 2018-07-19
PCT/US2018/043319 WO2019023141A1 (en) 2017-07-28 2018-07-23 REMOVAL OF AN ELECTRO-OXIDIZING METAL DURING THE MANUFACTURE OF A MASK INTERCONNECTION

Publications (2)

Publication Number Publication Date
CN111149198A CN111149198A (zh) 2020-05-12
CN111149198B true CN111149198B (zh) 2024-04-26

Family

ID=65038122

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880062982.3A Active CN111149198B (zh) 2017-07-28 2018-07-23 通掩模互联制造中的电氧化金属去除

Country Status (7)

Country Link
US (2) US10692735B2 (zh)
EP (1) EP3659176A4 (zh)
JP (1) JP2020529133A (zh)
KR (1) KR20200024361A (zh)
CN (1) CN111149198B (zh)
TW (1) TWI810195B (zh)
WO (1) WO2019023141A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US11280021B2 (en) * 2018-04-19 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling chemical concentration in electrolyte and semiconductor apparatus
US20230230847A1 (en) * 2020-05-15 2023-07-20 Lam Research Corporation Electro-oxidative metal removal accompanied by particle contamination mitigation in semiconductor processing
CN112410866B (zh) * 2020-11-19 2022-05-10 科凯(南通)生命科学有限公司 一种用于镍钛合金的电化学抛光液及抛光方法
US11447887B2 (en) 2020-12-10 2022-09-20 Saudi Arabian Oil Company Surface smoothing of copper by electropolishing
US11512400B2 (en) * 2020-12-10 2022-11-29 Saudi Arabian Oil Company Electrochemical reduction of carbon dioxide
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
CN117238781B (zh) * 2023-11-16 2024-02-23 江苏芯德半导体科技有限公司 一种晶圆级超薄四边无引脚芯片封装方法及芯片封装结构

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08181103A (ja) * 1994-12-27 1996-07-12 Nissan Motor Co Ltd 半導体基板の電解エッチング方法
JP2002270610A (ja) * 2001-03-13 2002-09-20 Hitachi Ltd 半導体装置の製造方法
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
DE10300597A1 (de) * 2003-01-10 2004-07-22 Eilenburger Elektrolyse- Und Umwelttechnik Gmbh Verfahren und Vorrichtung zur vollständigen Regenerierung von Metallchlorid-Ätzlösungen für Kupferwerkstoffe
CN102102211A (zh) * 2009-12-16 2011-06-22 株式会社东芝 蚀刻方法、制造微观结构的方法和蚀刻装置
CN102286760A (zh) * 2010-05-19 2011-12-21 诺发系统有限公司 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
CN102732888A (zh) * 2012-07-19 2012-10-17 湖南万容科技股份有限公司 酸性蚀刻废液的再生回收方法及系统
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3458424A (en) * 1967-05-15 1969-07-29 Anocut Eng Co Electrochemical machining apparatus utilizing a mechanically deformable cathode
USH36H (en) 1981-10-13 1986-03-04 At&T Bell Laboratories Electroplating process with inert anodes
DE4229403C2 (de) 1992-09-03 1995-04-13 Hoellmueller Maschbau H Vorrichtung zum Galvanisieren dünner, ein- oder beidseits mit einer leitfähigen Beschichtung versehener Kunststoffolien
US5391271A (en) 1993-09-27 1995-02-21 Hughes Aircraft Company Method of monitoring acid concentration in plating baths
US5858196A (en) 1996-01-31 1999-01-12 Kawasaki Steel Corporation Method of controlling component concentration of plating solution in continuous electroplating
JPH09223858A (ja) 1996-02-15 1997-08-26 Fujitsu Ltd プリント配線基板の製造方法
US7556722B2 (en) 1996-11-22 2009-07-07 Metzger Hubert F Electroplating apparatus
KR19990015599A (ko) 1997-08-07 1999-03-05 윤종용 무전해 도금을 이용한 반도체장치의 듀얼 다마슨금속 배선층 형성방법
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
IL139418A0 (en) 1998-05-16 2001-11-25 Blasberg Oberflaechentech Method for electro copperplating substrates
MY144573A (en) 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
US6709565B2 (en) 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US6315883B1 (en) 1998-10-26 2001-11-13 Novellus Systems, Inc. Electroplanarization of large and small damascene features using diffusion barriers and electropolishing
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6383917B1 (en) 1999-10-21 2002-05-07 Intel Corporation Method for making integrated circuits
US6231743B1 (en) 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6582281B2 (en) * 2000-03-23 2003-06-24 Micron Technology, Inc. Semiconductor processing methods of removing conductive material
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
TW571005B (en) 2000-06-29 2004-01-11 Ebara Corp Method and apparatus for forming copper interconnects, and polishing liquid and polishing method
US6802946B2 (en) * 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
KR20020092444A (ko) 2001-02-23 2002-12-11 가부시키 가이샤 에바라 세이사꾸쇼 구리-도금 용액, 도금 방법 및 도금 장치
US7201829B2 (en) * 2001-03-01 2007-04-10 Novellus Systems, Inc. Mask plate design
US20020139684A1 (en) 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US6821409B2 (en) * 2001-04-06 2004-11-23 Asm-Nutool, Inc. Electroetching methods and systems using chemical and mechanical influence
WO2002090623A1 (fr) 2001-05-09 2002-11-14 Ebara-Udylite Co., Ltd. Bain galvanoplastique et procede pour substrat de galvanoplastie faisant appel audit bain
ITMI20011374A1 (it) 2001-06-29 2002-12-29 De Nora Elettrodi Spa Cella di elettrolisi per il ripristino della concentrazione di ioni metallici in processi di elettrodeposizione
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
JP2003113479A (ja) 2001-10-04 2003-04-18 Chang Chun Petrochemical Co Ltd 集積回路の銅インタコネクション晶種層の形成方法
JP3695703B2 (ja) 2001-10-25 2005-09-14 株式会社日立製作所 電気めっき方法、電気めっき装置及び半導体装置の製造方法及び製造装置
US6815354B2 (en) 2001-10-27 2004-11-09 Nutool, Inc. Method and structure for thru-mask contact electrodeposition
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
JP2003293193A (ja) 2002-04-02 2003-10-15 Nec Electronics Corp 微細回路配線形成方法およびこれに用いる装置
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
CN1679154A (zh) 2002-05-16 2005-10-05 新加坡国立大学 晶片级无电镀铜法和凸块制备方法,以及用于半导体晶片和微芯片的渡液
JP3819840B2 (ja) 2002-07-17 2006-09-13 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
WO2004033763A1 (ja) 2002-10-11 2004-04-22 Electroplating Engineers Of Japan Limited カップ式めっき装置
CN1314838C (zh) 2002-12-11 2007-05-09 财团法人工业技术研究院 高高温伸长率电解铜箔的制造方法
US6914002B2 (en) 2002-12-28 2005-07-05 Intel Corporation Differential planarization
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050082172A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Copper replenishment for copper plating with insoluble anode
US7405157B1 (en) 2003-11-10 2008-07-29 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7794573B2 (en) 2003-12-05 2010-09-14 Semitool, Inc. Systems and methods for electrochemically processing microfeature workpieces
JP4540981B2 (ja) 2003-12-25 2010-09-08 株式会社荏原製作所 めっき方法
TWI320062B (en) 2004-03-31 2010-02-01 Composition for copper electroplating solution
WO2005122285A2 (en) 2004-06-04 2005-12-22 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
CN1290160C (zh) 2004-09-24 2006-12-13 清华大学 分离双电极酸性化学镀制备集成电路铜互连线的金属化方法
CN1773675A (zh) 2004-11-10 2006-05-17 北京大学 射频电感的制备方法
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7435393B2 (en) 2005-05-05 2008-10-14 Eastman Chemical Company Baffle assembly module for vertical staged polymerization reactors
JP2007051362A (ja) 2005-07-19 2007-03-01 Ebara Corp めっき装置及びめっき液の管理方法
US7531099B1 (en) 2005-10-17 2009-05-12 Process Equipment & Service Company, Inc. Water surge interface slot for three phase separator
US7631423B2 (en) 2006-02-13 2009-12-15 Sanmina-Sci Corporation Method and process for embedding electrically conductive elements in a dielectric layer
US7396430B2 (en) * 2006-03-31 2008-07-08 Lam Research Corporation Apparatus and method for confined area planarization
US7743783B2 (en) 2006-04-04 2010-06-29 Air Liquide Electronics U.S. Lp Method and apparatus for recycling process fluids
US9045840B2 (en) * 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8128791B1 (en) 2006-10-30 2012-03-06 Novellus Systems, Inc. Control of electrolyte composition in a copper electroplating apparatus
US20080217183A1 (en) 2007-03-09 2008-09-11 Sriram Muthukumar Electropolishing metal features on a semiconductor wafer
TWI341554B (en) 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
US20090038947A1 (en) 2007-08-07 2009-02-12 Emat Technology, Llc. Electroplating aqueous solution and method of making and using same
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US20110017608A1 (en) * 2009-07-27 2011-01-27 Faraday Technology, Inc. Electrochemical etching and polishing of conductive substrates
US9109295B2 (en) 2009-10-12 2015-08-18 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US10472730B2 (en) 2009-10-12 2019-11-12 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US8535504B2 (en) 2010-05-03 2013-09-17 Eci Technology, Inc. Analysis of an auxiliary leveler additive in an acid copper plating bath
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9062388B2 (en) * 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
KR20120036030A (ko) 2010-10-07 2012-04-17 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
KR20120003405U (ko) 2010-11-08 2012-05-16 박수환 안전화 밑창
US20120175262A1 (en) 2011-01-10 2012-07-12 EncoreSolar, Inc. Method and apparatus for electrodeposition of group iib-via compound layers
SA112330516B1 (ar) * 2011-05-19 2016-02-22 كاليرا كوربوريشن انظمة وطرق هيدروكسيد كهروكيميائية مستخدمة لأكسدة المعدن
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US20130087463A1 (en) 2011-10-05 2013-04-11 Globalfoundries Inc. Method and System for Metal Deposition in Semiconductor Processing
CN202465938U (zh) 2011-12-14 2012-10-03 福建通途金属结构有限公司 一种电沉积装置
CN102995096A (zh) 2012-11-05 2013-03-27 江苏三鑫电子有限公司 一种电镀液自动加药系统及其自动加药方法
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9758893B2 (en) 2014-02-07 2017-09-12 Applied Materials, Inc. Electroplating methods for semiconductor substrates
CN104060319B (zh) 2014-07-01 2016-08-31 金华市恒飞电工材料有限公司 一种铜离子浓度自动平衡控制装置及其控制方法
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) * 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08181103A (ja) * 1994-12-27 1996-07-12 Nissan Motor Co Ltd 半導体基板の電解エッチング方法
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
JP2002270610A (ja) * 2001-03-13 2002-09-20 Hitachi Ltd 半導体装置の製造方法
DE10300597A1 (de) * 2003-01-10 2004-07-22 Eilenburger Elektrolyse- Und Umwelttechnik Gmbh Verfahren und Vorrichtung zur vollständigen Regenerierung von Metallchlorid-Ätzlösungen für Kupferwerkstoffe
CN102102211A (zh) * 2009-12-16 2011-06-22 株式会社东芝 蚀刻方法、制造微观结构的方法和蚀刻装置
CN102286760A (zh) * 2010-05-19 2011-12-21 诺发系统有限公司 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
CN102732888A (zh) * 2012-07-19 2012-10-17 湖南万容科技股份有限公司 酸性蚀刻废液的再生回收方法及系统
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法

Also Published As

Publication number Publication date
US20200279754A1 (en) 2020-09-03
EP3659176A4 (en) 2021-07-21
US20190035640A1 (en) 2019-01-31
TWI810195B (zh) 2023-08-01
US10692735B2 (en) 2020-06-23
JP2020529133A (ja) 2020-10-01
US11610782B2 (en) 2023-03-21
TW201919150A (zh) 2019-05-16
CN111149198A (zh) 2020-05-12
EP3659176A1 (en) 2020-06-03
WO2019023141A1 (en) 2019-01-31
KR20200024361A (ko) 2020-03-06

Similar Documents

Publication Publication Date Title
CN111149198B (zh) 通掩模互联制造中的电氧化金属去除
JP6832067B2 (ja) シリコン貫通ビア内への銅の電着のための、ニッケルライナおよびコバルトライナの前処理
US20220018036A1 (en) Low temperature direct copper-copper bonding
KR102439386B1 (ko) 희생적 산화제들을 사용하여 코발트 전기충진을 최적화하는 프로세스
TWI656246B (zh) 電鍍用鹼前處理
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
CN111492096B (zh) 混合特征电镀的对流优化
US20230212773A1 (en) Surface pretreatment for electroplating nanotwinned copper
US20220275531A1 (en) Differential contrast plating for advanced packaging applications
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US20230230847A1 (en) Electro-oxidative metal removal accompanied by particle contamination mitigation in semiconductor processing
KR20220124787A (ko) 긴 펄싱 및 램핑에 의한 tsv 프로세스 윈도우 및 충진 성능 향상
KR20230136017A (ko) 전기 도금 동안 테일러링된 유체 역학에 대한 공간적으로 그리고 치수적으로 불균일한 채널링된 플레이트

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant