JP2023510776A - Tsv処理窓ならびに長いパルス出力および傾斜部形成による充填性能強化 - Google Patents

Tsv処理窓ならびに長いパルス出力および傾斜部形成による充填性能強化 Download PDF

Info

Publication number
JP2023510776A
JP2023510776A JP2022542109A JP2022542109A JP2023510776A JP 2023510776 A JP2023510776 A JP 2023510776A JP 2022542109 A JP2022542109 A JP 2022542109A JP 2022542109 A JP2022542109 A JP 2022542109A JP 2023510776 A JP2023510776 A JP 2023510776A
Authority
JP
Japan
Prior art keywords
current
substrate
electroplating
magnitude
pulse
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022542109A
Other languages
English (en)
Inventor
シン・ジェー
リチャードソン・ジョセフ
ヴェルムルガン・ジャヤヴェル
ポヌスワミー・トマス・アナンド
メイヤー・スティーブン・ティ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023510776A publication Critical patent/JP2023510776A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Glass Compositions (AREA)
  • Light Receiving Elements (AREA)
  • Magnetic Resonance Imaging Apparatus (AREA)

Abstract

Figure 2023510776000001
【解決手段】高い開放領域部分を有する基板上に部分的に製作された電子素子の特徴の中に金属を電気めっきする方法を提供する。方法は、高レベルの電流パルスを用いてバルク電気充填期を開始するステップと、ベースライン電流レベルまで電流を低減するステップと、任意選択で電気めっきが完了するまで1つまたは複数の段階で電流を増大させるステップとを含む。
【選択図】図6

Description

(関連出願の相互参照)
PCT願書様式は、本出願の一部として本明細書と同時に提出される。同時に提出されるPCT願書様式で識別されるように、本出願が利益または優先権を主張する各出願は、参照により全体が事実上本明細書に組み入れられる。
シリコン貫通ビア(through silicon via、TSV)は、シリコンのウエハまたはダイを完全に通過する垂直方向の電気接続である。TSV技術を使用して3Dパッケージおよび3D集積回路(integrated circuit、IC)を作成してよい。TSV技術は、マルチチップ電子回路の複雑性および全体寸法を著しく低減する内部配線によって、垂直に整列した電子素子の相互接続を提供する。
典型的TSV処理は、TSV穴を形成するステップ、等角の拡散障壁および導電性シード層を堆積させるステップ、続いて金属でTSV穴を充填するステップを伴う。銅は典型的には、3Dパッケージおよび3D集積回路、ならびに増大した素子速度など、複雑な集積で遭遇する高い電流密度をサポートするので、TSV充填で導電性金属として使用される。その上、銅は良好な熱伝導率を有し、非常に純粋な状態で利用できる。
TSV穴は典型的には、高アスペクト比を有し、高アスペクト比によりそのような構造の中に銅をボイドなしで堆積させることは、困難な作業になる。銅のCVD堆積は、複雑で費用のかかる前駆物質を必要とし、一方、PVD堆積は多くの場合、ボイドおよび制限された段差被覆を生じさせる。電気めっきは、TSV構造の中に銅を堆積させるより一般的な方法であるが、しかしながら、同じくTSVの大きなサイズおよび高アスペクト比のために1組の難題を提示する。
典型的TSV電気充填(eletrofilling)処理では、基板は電気的に負にバイアスされ、銅イオンの供給源としての硫酸銅、伝導率を制御するための硫酸、ならびに抑制剤、加速材、およびレベラ(leveler)として公知のいくつかの有機添加剤を含んでよいめっき溶液と接触させられる。TSV側壁上にかなりの量の銅を堆積させることを含む等角充填は、シームボイド(seam void)を生じさせる可能性がある。
本明細書に包含する背景および前後関係の記述は、一般に本開示の背景を提示するためだけのために提供される。本開示の多くは、本発明者らの成果を提示し、そのような成果は「背景技術」の項目で記述される、または本明細書の他の箇所で背景として提示されるだけなので、先行技術として認められていることを意味するものではない。
本明細書で開示するのは、パルスを有する電流波形を使用して金属を電気めっきするための方法およびシステムである。本明細書で開示する実施形態の一様態では、金属を電気めっきする方法を開示し、方法は、金属イオンを有する電気めっき溶液と基板を接触させるステップであって、基板は、基板の面上に少なくとも約0%~9%の開放領域を提供する特徴を有するステップと、電気めっき溶液と接触している基板に電気充填電流波形を加えるステップであって、電気充填電流波形は、(i)約10秒から約200秒までの継続期間、ベースライン電流の大きさの少なくとも約2倍の大きさを有するパルス、および(ii)パルスに続く、平均でベースライン電流の大きさを有する実質的に一定の電流段階を含むステップと、特徴の少なくとも一部分を金属で充填するステップとを含む。
いくつかの実装形態では、電気充填電流波形のパルスは、基板に加えられる電流の大きさを増大させる初期段階変化、続いて基板に加えられる電流の大きさを低減させる傾斜部を含んでよい。いくつかの実装形態では、傾斜部の継続期間は少なくとも10秒であってよい。いくつかの実装形態では、傾斜部は、パルス電流の大きさとベースライン電流の大きさの間の直線変化であってよい。いくつかの実装形態では、電気充填電流波形は、(iii)平均でベースライン電流の大きさよりも大きくてよい大きさを有する第2の実質的に一定の電流段階をさらに含んでよい。いくつかの実装形態では、電気充填電流波形は、(iv)平均でベースライン電流の大きさよりも大きくてよい大きさをそれぞれ有する1つまたは複数の追加の実質的に一定の電流段階をさらに含んでよい。いくつかの実装形態では、電気充填電流波形は、パルスに先行する誘導期をさらに含んでよく、誘導期の間、基板に電流をまったく加えなくてよく、または基板に誘導期電流を加えてよく、誘導期電流は、約30mAから200mAの間の平均的大きさを有する。いくつかの実装形態では、基板に加えるとき、ベースライン電流は、基板上で約0.01mA/cm2~10mA/cm2の間の電流密度を作り出す。いくつかの実装形態では、基板は、少なくとも部分的に上に製作された集積回路を有する半導体ウエハであってよい。
いくつかの実装形態では、基板は300mm半導体ウエハであってよい。いくつかの実装形態では、基板上の特徴はシリコン貫通ビアである。いくつかの実装形態では、シリコン貫通ビアは、基板面上に平均で少なくとも約0.1マイクロメートルの開口部幅または直径を有する。いくつかの実装形態では、シリコン貫通ビアは、平均で少なくとも約10マイクロメートルの深さを有する。いくつかの実装形態では、シリコン貫通ビアは、平均で約4以上のアスペクト比を有する。いくつかの実装形態では、金属は銅であってよい。いくつかの実装形態では、電気めっき溶液は第二銅イオンの供給源を含む。いくつかの実装形態では、電気めっき溶液は第一銅イオンの供給源を含有しない。いくつかの実装形態では、電気めっき溶液は約0~1のpHを有する。いくつかの実装形態では、電気めっき溶液は加速剤および抑制剤を含む。いくつかの実装形態では、加速剤はSPSであってよい。いくつかの実装形態では、パルスからベースライン電流への電気充填電流波形の変化は、実質的に即時であってよい。
本明細書で開示する実施形態の別の様態では、金属を電気めっきする方法は、金属イオンを有する電気めっき溶液と特徴を有する基板を接触させるステップと、電気めっき溶液と接触している基板に電気充填電流波形を加えるステップであって、電気充填電流波形は、(i)約10秒から約200秒までの継続期間、ベースライン電流の大きさの少なくとも約2倍の大きさを有するパルス、および(ii)パルスに続く、平均でベースライン電流の大きさを有する実質的に一定の電流段階を含むステップと、特徴の少なくとも一部分を金属で充填するステップとを含む。
本明細書で開示する実施形態の別の様態では、電気めっきシステムを開示し、システムは、陽極を包含するように、かつ金属のイオンを有する電気めっき溶液を含有するように構成された電気めっきセルと、電気めっきセル内部で基板を支持するように構成されたウエハホルダと、金属イオンを有する電気めっき溶液と特徴を有する基板を接触させ、(i)約10秒から約200秒までの継続期間、ベースライン電流の大きさの少なくとも約2倍の大きさを有するパルス、および(ii)パルスに続く、平均でベースライン電流の大きさを有する実質的に一定の電流段階を備える電気充填電流波形を、電気めっき溶液と接触している基板に加えさせ、特徴の少なくとも一部分を金属で充填させるように構成された1つまたは複数のコントローラとを含む。
いくつかの実装形態では、電気充填電流波形のパルスは、基板に加えられる電流の大きさを増大させる初期段階変化、続いて基板に加えられる電流の大きさを低減させる傾斜部を含んでよい。いくつかの実装形態では、傾斜部の継続期間は少なくとも10秒であってよい。いくつかの実装形態では、傾斜部は、パルス電流の大きさとベースライン電流の大きさの間の直線変化であってよい。いくつかの実装形態では、電気充填電流波形は、(iii)平均でベースライン電流の大きさよりも大きくてよい大きさを有する第2の実質的に一定の電流段階をさらに含んでよい。いくつかの実装形態では、電気充填電流波形は、(iv)平均でベースライン電流の大きさよりも大きくてよい大きさをそれぞれ有する1つまたは複数の追加の実質的に一定の電流段階をさらに含んでよい。いくつかの実装形態では、電気充填電流波形は、パルスに先行する誘導期をさらに含んでよく、誘導期の間、基板に電流がまったく加えられなくてよく、または基板に誘導期電流が加えられてよく、誘導期電流は、約30mA~200mAの間の平均的大きさを有する。いくつかの実装形態では、基板に加えるとき、ベースライン電流は、基板上で約0.01mA/cm2~10mA/cm2の間の電流密度を作り出す。いくつかの実装形態では、基板は、少なくとも部分的に製作された集積回路を上に有する半導体ウエハであってよい。
いくつかの実装形態では、基板は300mm半導体であってよい。いくつかの実装形態では、基板上の特徴はシリコン貫通ビアである。いくつかの実装形態では、シリコン貫通ビアは、基板面上に平均で少なくとも約0.1マイクロメートルの開口部幅または直径を有する。いくつかの実装形態では、シリコン貫通ビアは、平均で少なくとも約10マイクロメートルの深さを有する。いくつかの実装形態では、シリコン貫通ビアは、平均で約4以上のアスペクト比を有する。いくつかの実装形態では、金属は銅であってよい。いくつかの実装形態では、電気めっき溶液は第二銅イオンの供給源を含む。いくつかの実装形態では、電気めっき溶液は第一銅イオンの供給源を含有しない。いくつかの実装形態では、電気めっき溶液は約0~1のpHを有する。いくつかの実装形態では、電気めっき溶液は加速剤および抑制剤を含む。いくつかの実装形態では加速剤はSPSであってよい。いくつかの実装形態では、パルスからベースライン電流への電気充填電流波形の変化は、実質的に即時であってよい。
開示する実施形態のこれらおよび他の特徴について、添付図面を参照して以下で詳細に記述する。
基板の凹状特徴充填の例示を提示する。
さまざまな電流レベルで発生することがあるボイドの例示を提示する。
一例の実施形態に関する動作の流れ図を提示する。
さまざまな実施形態による電流および電圧の波形の例を提示する。 さまざまな実施形態による電流および電圧の波形の例を提示する。
さまざまな電流波形に関する隆起部高さの例示を提示する。
ボイド充填およびボイドなし充填に関する電流波形の例を提示する。
異なる開口領域に関する充填された特徴の例示を提示する。
本明細書で論じるさまざまな実施形態に基づき充填された特徴の例示を提示する。
開示する実施形態による方法を遂行するための処理チャンバの例の概略図である。 開示する実施形態による方法を遂行するための処理チャンバの例の概略図である。 開示する実施形態による方法を遂行するための処理チャンバの例の概略図である。 開示する実施形態による方法を遂行するための処理チャンバの例の概略図である。
序論
本明細書ではシリコン貫通ビア(TSV)またはウエハ・レベル・パッケージング(wafer level packaging、WLP)など、めっきされた特徴内のボイドを低減するための技法を提供する。TSVは、シリコンウエハまたはダイなどの半導体加工物を完全に通過する電気接続のためのビアである。本開示ではさまざまな用語を使用して半導体加工物について記述する。たとえば「ウエハ」および「基板」を交換可能に使用する。典型的TSV処理は、TSV穴を形成するステップと、基板上に等角の拡散障壁および導電性シード層を堆積させるステップと、続いて金属でTSV穴を充填するステップとを伴う。TSV穴は、典型的には高アスペクト比を有し、高アスペクト比により、そのような構造の中に銅をボイドなしに堆積させることは困難な作業になる。TSVは典型的には4:1以上、たとえば10:1以上、およびさらには20:1以上(たとえば約30:1に到達する)のアスペクト比を有し、開口部の幅は約0.1μm以上、たとえば約5μm以上であり、深さは約50μm以上および約100μm以上など、約5μm以上である。TSVの例は、5μm×50μmおよび10μm×100μmの特徴を含む。そのように大きな凹状特徴は、酸感応性シード層でコートするとき、従来の技法を使用して充填するのが特に困難である。銅の化学蒸着法(chemical vapor deposition、CVD)は、複雑で費用のかかる前駆物質を必要とするが、物理蒸着法(physical vapor deposition、PVD)は、多くの場合ボイドおよび制限された段差被覆を生じさせる。電気化学反応を介して導電性表面の上に金属を堆積させる、またはめっきする処理は、一般に電気めっき、めっき、または電気充填と呼ばれる。電気めっきは、TSV構造の中に銅を堆積させるという、より一般的な方法であるが、同じくまた、TSVのサイズが大きく、アスペクト比が高いので一連の難題を提示する。
図1は、凹状特徴またはビア103を有する基板100がめっき溶液120と接触するときの、めっき溶液構成要素の分布を例示する。基板100の概略的横断面図を示す。基板100は、シリコンの層101、およびシリコン101の中にエッチングされたビア103を含む。いくつかの実施形態では、シリコン101の上に誘電体ライナ(図示せず)を堆積させてよい。Ti/TiN、Ta/TaN、またはW/WNの二重層などの拡散障壁層105は、誘電体の層の上に存在する。コバルト、銅、またはニッケルのシード層などのシード層107は、障壁層105の最上部に存在し、電気めっき溶液120に曝露される。いくつかの実施形態では、基板上に等角の積層膜が存在してよい。電気めっき溶液120は金属塩、酸、ならびに加速剤および抑制剤などの添加剤を含有する。図1に示すように、典型的TSV電気充填処理では、基板100は、電気的に負のバイアスをかけられ、めっき槽内でめっき溶液120と接触させられ、めっき槽は一般に、銅イオンの供給源としての硫酸銅またはメタンスルホン酸銅などの金属塩、塩素イオンどの添加剤と共に、導電率を制御するための硫酸またはメタンスルホン酸などの酸、ならびに抑制剤、加速剤、およびレベラとして公知のさまざまな機能クラスの有機添加剤を含む。
ダマシン(Damascene)処理は、集積回路上に金属線を形成するための方法である。場合によってはTSVをダマシン処理と併せて使用して、垂直に整列した電子素子の相互接続を、内部配線を通して提供することにより3Dパッケージおよび3D集積回路を作成する。そのような3Dパッケージおよび3D集積回路は、マルチチップ電子回路の複雑性および全体寸法を著しく低減することがある。ダマシン処理中に、またはTSV内に形成された集積回路の表面上の導電性経路は、一般に銅で充填される。
開示する方法および装置は、さまざまな凹状特徴を電気めっきするために使用できるが、TSVが占有する基板の平坦な幾何学的表面領域の小部分である広い開放領域を伴うTSV基板を充填するために特に有利である。
特徴を電気充填するとき、ボイドを回避することが望ましい。電気充填中にボイドを回避する1つの方法は、加える電流を制限することである。経験的に決定されたしきい値以下に電流を制限することによりボイドが低減されることがわかっている。しかしながら、加える電流を低減することにより、本明細書に記述するように、高い開放領域を有するウエハでボイドが除去されないことがある。図2は、4つの異なる特徴200a~200dを示し、これらの特徴はそれぞれボイド202a~202dを有し、この場合、加えた電流は200aから200dへと増加する。大電流では、特徴200cおよび200dなど、ボイドが存在する。通常は、ボイド202cおよび202dに関して見えるように、電流を低下させる結果、ボイドはより高い位置に形成される。しかしながら、電流を低下させることによりボイドは除去されず、ボイドはまた、ボイド202bに示すように十分低い電流でより低い位置に形成された。十分低い電流では、特徴200aに見えるように、特徴200b~200dに見えるようなポケットボイドではなくむしろシームボイド(seam void、継ぎ目ボイド)が発生する。特徴200aから電流を増大させた結果、特徴200bで見えるようなポケットボイドが得られた。その結果、電気めっきがボイドを組み入れない電流は存在しないと思われた。
高い開放領域のウエハに関してボイドを低減するために本発明者らが発見した解決手段は、大電流のパルスを用いてバルク電気充填期を開始することである。短期間の間、たとえば数秒~数分の間、電流を増大させ、続いて最初のバルク電気充填電流段階に電流を低減することにより、シームボイドおよびポケットボイドの存在を低減させる。
電流波形は、電気めっき処理の間ずっと加えられる電流について描写する。図4Bは、いくつかの異なる電流波形を示す。図4Bで「パルスなし」波形は典型的な波形を例示し、電流は誘導期の間、最小値またはゼロであり、次いでバルク電気充填期への階段状変化が続く。図4Bは、バルク電気充填期での単一の階段状変化だけを示すが、バルク電気充填期の範囲内に多数の階段状変化が存在してよい。以下でさらに論じるように、図4Bのその他の波形は、さまざまなパルス技法を例証する。
専門用語
ウエハまたは基板
本明細書では、用語「半導体ウエハ」または「半導体基板」または単に「基板」は、その本体内部のどこにでも半導体材料を有する基板を指し、当業者は、半導体材料が曝露される必要がないことを理解している。半導体基板は、半導体材料の全面にわたって形成された1つまたは複数の誘電体層および導電層を含んでよい。半導体素子産業で使用されるウエハは、典型的にはたとえば200mm、300mm、または450mmの直径を有してよい円形の半導体基板である。以下の詳細な記述は、「電気めっき」または短く「めっき」とも呼ばれる電気化学めっき、およびウエハ上にめっきされた材料のその後のエッチングについて記述する。しかしながら、当業者は、本明細書で記述する実装の適切な代替実装が存在すること、ならびに開示する電気めっき動作がさまざまな材料から作られた、さまざまな形状およびサイズの加工物に対して行われてよいことを認識されよう。半導体ウエハに加えて、開示する実装形態を利用してよい他の加工物は、プリント回路基板(printed circuit board、PCB)などのようなさまざまな物品を含む。いくつかの実施形態では、ウエハは、シリコン貫通ビアではなくむしろシリコン貫通ビアを伴うガラスであってよい。
添加剤
TSVに適用するため、および場合によってはWLPに適用するために電気めっきを低電流で遂行して、ピンチ・オフ・ボイド(pinch off void)の形成を回避し、高アスペクト比の特徴の中で銅の拡散を適応させてよい。電気めっき溶液の中に添加剤を含ませて基板上の電気めっき溶液の挙動を変えることにより、特徴の下から上への充填を可能にしてよい。添加剤の例は抑制剤、加速材、およびレベラを含む。いくつかの実施形態では、抑制剤は、抑制剤とレベラの両方の役割を果たす(たとえば、抑制剤は、「平滑化(leveling)特性」を有してよい)。添加剤パッケージの例は、60g/LのCu、60g/Lの硫酸、およびワシントン州モーゼスレークのMoses Lake Industriesから入手可能なHSL-A加速剤およびHSL-B抑制剤を伴う50ppmの塩化物を含んでよい。
電気めっき中、ウエハ表面上の添加剤の変化は、定電流電気めっき段階で電圧ドリフトを生じさせる。たとえば、特定の理論に制限されることなく、抑制剤が加速剤の吸着により置換され、それにより分極を低下させ電極間の電圧を低下させるので、ウエハ表面上に吸着した抑制剤の表面濃度は経時的に低減すると考えられている。ビアの最下部に吸着した加速剤の表面濃度が局所的に高いことにより、ビア内のめっき速度の高まり、および下から上への充填が引き起こされる。ビアがほぼ完全な充填に近づくとき、抑制剤および/またはレベラがビア内の加速剤を置換することに部分的に起因して、局所的加速効果は低下し、分極は増大する。この加速剤活性の低下は、ビアの全面にわたり大きな隆起部の形成を低減させ、一般に「平準化」と呼ばれる。本明細書で使用する抑制剤は、平滑化特性を有してよい。
抑制剤
どんな動作理論または動作機構にも制限されることを望まないが(単体の、または他の溶剤と組み合わせた)抑制剤は、特に表面化学吸着ハロゲン化物(たとえば、塩化物または臭化物)と組み合わせて存在するとき、基板-電解質界面の全面にわたり著しい電圧降下増大を引き起こす表面分極化合物であると考えられている。ハロゲン化物は、抑制剤分子と基板表面の間の化学吸着ブリッジの役割を果たしてよい。抑制剤は、(1)抑制剤が存在しない区域に対して抑制剤が存在する区域で基板表面の局所的分極を増大させるだけではなく、(2)一般に基板表面の分極も増大させる。(局所的および/または一般的な)分極増大は、抵抗率/インピーダンスの増大に、したがって、加えられた特定の電位でより遅いめっきに対応する。
抑制剤は、堆積した膜の中にそれほど組み入れられないが、槽内の電気分解または化学分解により経時的にゆっくりと劣化することがあると考えられている。抑制剤は多くの場合、比較的大きな分子であり、多くの実例では本質的にポリマーである(たとえば、ポリエチレンオキシド、ポリプロピレンオキシド、ポリエチレングリコール、ポリプロピレングリコールなど)。抑制剤の他の例は、S-および/またはN-含有官能基を伴うポリエチレンオキシドおよびポリプロピレンオキシド、ポリエチレンオキシドおよびポリプロピレンオキシドのブロックポリマーなどを含む。抑制剤は、直鎖構造または分岐構造または両方を有する可能性がある。市販の抑制剤溶液の中にさまざまな分子量を伴う抑制剤分子が共存することはよくあることである。抑制剤のサイズが大きいことに部分的に起因して、これらの化合物が凹状特徴の中に拡散するのは、他の溶液成分と比べて比較的遅い可能性がある。
いくつかの抑制剤は平滑化特性を含む。レベラは、抑制剤および/または加速剤と併せて使用されてよいが、いくつかの抑制剤は、開示する実施形態では十分な平滑化挙動を含むことがある。
どんな動作理論または動作機構にも制限されることを望まないが、レベラは(単体で、または他の溶剤と組み合わせて)抑制する薬剤の役割を果たして、場合によっては、処理されている基板の場の区域など、特に基板の曝露された部分で、および特徴の側壁で、加速剤に関連する脱分極効果を相殺すると考えられている。レベラは、基板の分極/表面抵抗率を局所的に増大させることがあり、それにより、レベラが存在する区域で局所的電着反応を遅くする。レベラの局所濃度は、質量輸送によりある程度まで決定される。したがって、レベラは、表面から離れて突出する幾何形状を有する表面構造に主として作用する。この作用は、電着層の表面を「滑らかにする」。多くの場合レベラは、基板表面で拡散限界速度またはそれに近い速度で反応し、または消費され、したがって、レベラを連続して供給することは、多くの場合一様なめっき条件を経時的に維持するのに有益であると考えられている。
レベラ化合物は、一般にそれらの電気化学的な機能および影響に基づきレベラとして分類され、特定の化学構造または化学式を必要としない。しかしながら、レベラは多くの場合、1つまたは複数の窒素、アミン、イミド、またはイミダゾールを含有し、さらにまた硫黄官能基を含有してよい。ある種のレベラは、1つまたは複数の五員環および六員環、ならびに/または共役有機化合物誘導体を含む。窒素属は環状構造の一部を形成してよい。アミン含有レベラでは、アミンは第一級アルキルアミン、第二級アルキルアミン、または第三級アルキルアミンであってよい。その上アミンは、アリールアミンまたは複素環アミンであってよい。アミンの例はジアルキルアミン、トリアルキルアミン、アリールアルキルアミン、トリアゾール類、イミダゾール、トリアゾール、テトラゾール、ベンズイミダゾール、ベンゾトリアゾール、ピペリジン、モルホリン、ピペラジン、ピリジン、オキサゾール、ベンゾキサゾール、ピリミジン、キノリン、およびイソキノリンを含むがそれらに限定されない。イミダゾールおよびピリジンは特に有用であることがある。レベラの例は、ヤヌスグリーン(Janus Green)Bである。レベラ化合物はまた、エトキシド基を含んでよい。たとえば、レベラは、鎖(たとえば、ヤヌスグリーンB)の全面にわたり機能的に挿入されたアミンの断片を伴う、ポリエチレングリコールまたはポリエチレンオキシドで見いだされた主鎖に類似する一般的主鎖を含んでよい。エポキシドの例は、エピクロロヒドリンまたはエピブロモヒドリンなどのエピハロヒドリン、ならびにポリエポキシド化合物を含むがそれらに限定されない。エーテル含有連鎖により一緒に連結された2つ以上のエポキシド部分を有するポリエポキシド化合物は、特に有用であることがある。いくつかのレベラ化合物はポリマーであるが、他はポリマーではない。ポリマーレベラ化合物の例は、ポリエチレンイミン、ポリアミドアミン、およびさまざまな酸素エポキシドまたは硫化物を伴うアミンの反応生成物を含むがそれらに限定されない。非ポリマーレベラの一例は6-メルカプトヘキサノールである。レベラの別の例はポリビニルピロリドン(polyvinylpyrrolidone、PVP)である。
加速剤
いずれの活動理論または活動機構にも制限されないことを望むが、加速剤は(単体で、または他の溶剤と組み合わせて)抑制剤の存在に関連する分極効果を局所的に低減し、それにより電着速度を局所的に増大させる傾向があると考えられている。低減した分極効果は、吸着した加速剤が最も集中した区域で最も顕著である(すなわち、分極は、吸着した加速剤の局所的表面濃度の関数として低減する)。加速剤の例は、ジメルカトルプロパンスルホン酸、ジメルカトルエタンスルホン酸、メルカプトプロパンスルホン酸、メルカトエタンスルホン酸、bis-(3-スルホプロピル)ジスルフィド(SPS)、およびそれらの誘導体を含むがそれらに限定されない。加速剤は、基板表面に強く吸着されるようになることがあり、めっき反応の結果、一般に横方向の表面に動かないが、一般に膜の中に著しく組み入れられることはない。その結果、加速剤は、金属を堆積させるときに表面上に残る。凹部を充填するとき、局所的加速剤濃度は、凹部の内部の表面上で増大する。加速剤は、抑制剤と比較したとき、より小さな分子である傾向があり、凹状特徴の中により速く拡散することを示す。
下から上への充填
下から上への充填機構では、めっき表面上の凹状特徴は、特徴の下から上に側壁から内側に特徴の中央に向けて金属でめっきされる傾向がある。特徴内部、および場の区域内で堆積速度を制御して一様な充填を達成し、特徴の中にボイドが組み入れられるのを回避してよい。上記で記述する3つのタイプの添加剤は、下から上への充填を達成するのに有益であり、それぞれ基板表面で分極を選択的に増大または低減させるように働く。
めっきの後段では、特にオーバーバーデン(overburden)が堆積するとき、加速剤は、望ましくないことには、ある種の区域(たとえば、上記で充填された特徴)で増大することがあり、その結果、望むよりも局所的に速くめっきされる。レベラを使用してこの効果を相殺してよい。レベラがなければ、特徴は過充填になり、隆起部を作り出す傾向があることがある。したがって、下から上への充填めっきの後段では、レベラは、比較的平坦な堆積を作り出すのに有益である。
抑制剤、加速剤、およびレベラを組み合わせて使用することにより、比較的平坦な堆積した表面を作り出しながら、下から上へ側壁の内側からボイドなしで特徴を充填できるようになることがある。添加剤化合物の正確な識別/組成は、典型的には添加剤供給業者により企業秘密として維持されているため、これらの化合物の正確な性質に関する情報は、公に入手できない。
開放領域
本明細書で使用するとき用語「開放領域」は、ビアまたは他の特徴が占有する基板表面の小部分を指すことがある。開放領域は、特徴の深さを考慮せず、基板の表面上の平面全体にわたり測定される。本明細書で記述する技法は、「高い」開放領域、たとえば0.9%よりも広いまたは約1%よりも広い開放領域を有する基板に特に適していることがある。中程度または低い開放領域は、一般に0.9%未満または約1%未満の開放領域であってよい。高い開放領域を伴う基板は、典型的なTSVよりも多数のTSVを、および/または典型的なTSVよりも大きな直径のTSVを有してよい。
電気充填電流波形
本明細書で使用するとき用語「電気充填電流波形」または「電流波形」は、電気めっき中に加えられた電流の、時間の関数としてのグラフを指すことがある。典型的電流波形は、初期誘導期、続いてバルク電気充填期を有してよい。誘導期は、流れる電流が非常に少なくても、なくてもよい。バルク電気充填期は、一連の定電流段階を有してよい。たとえば2~6段階を有してよい。段階は、大きさが順次増大してよい。バルク電気充填期は、めっき処理の間に電流を増大させる1つまたは複数の段階に分割されてよい。本明細書で記述する技法は、パルスに続いて実質的に一定部分を伴うバルク電気充填期を開始してよい。一般に、本明細書で記述する技法は、300mmウエハに加えるときの電流値について記述し、異なるサイズのウエハのために適切に調節されてよい。電流密度値は、ウエハの平坦な(ブランケット)表面積に基づき、基板の特徴により提供される追加表面積を考慮しない。
パルス
本明細書で使用するとき用語「パルス」は、バルク電気充填期の第1段階まで電流を低減させる前の電流の大きな増大を指すことがある。パルスの電流は、バルク電気充填期よりも高く、いくつかの実施形態では、バルク電気充填期に続く電流段階の電流の少なくとも2倍であってよい。パルスからバルク電気充填期の第1段階への電流低減は、さまざまな方法で達成されてよく、即時の段階変化、経時的低減(「傾斜部」)、またはパルス電流からバルク電気充填電流まで低減する何らかの他の関数を含む。パルスは、1つまたは複数の傾斜部、および/または1つまたは複数の段階変化を有してよい。

本明細書で論じる銅を含有する含有金属は、限定することなく純粋な銅金属、他の金属を伴う銅合金、および電気充填動作中に使用する有機化合物および無機化合物(たとえば、レベラ、加速剤、抑制剤、界面活性剤など)などの非金属種と共に含浸させた銅金属を含む「銅」を指す。銅は典型的には、3Dパッケージおよび3D集積回路のためなど、複雑な集積で遭遇する高い電流密度をサポートするので、TSV充填で導電性金属として使用される。銅はまた高い素子速度をサポートする。その上、銅は良好な熱伝導性を有し、非常に純粋な状態で利用できる。
電気めっき処理について主として銅めっき、より詳細にはTSV銅ダマシンめっきを参照して記述するが、他の金属および合金、たとえばCo、Au、Ag、Ni、Ru、Pd、Sn、In、およびこれらのいずれかの合金、たとえばSn/Ag合金またはSn/In合金などのめっきを遂行するために、および貫通レジストめっきのために、本明細書で提供する方法および関連する装置構成を使用できることが理解される。めっき電極は、必要とされる金属イオン(金属塩)の供給源を、および典型的には電解質導電率を増大させるために酸を含む。
背景-開放領域およびTSVめっき電流
従来の電気めっき動作で比較的低い開放領域のTSVウエハ(たとえば、開放領域は約0.9%未満である)については、1つの取り組み方法は、ボイドを導入することなくできるだけ高い電流でめっきすることである。特に高い電流で、充填された特徴がボイドを有することが一般に観察される。図2を参照して記述するように、より高い電流は、典型的にはボイドを、たとえばボイド202cおよび202dを生じさせる。低いまたは中程度の開放領域を有するウエハで作業するとき、処理窓は、ボイドを導入することのない、できるだけ高い電流で画定される。最適電流は、ボイドを導入することなく最速の充填速度を有してよく、ボイドを導入しない最も高い電流であっても、またはより少ない電流であってもよい。電流が増大するにつれて、充填速度は最大値に到達してよく、ボイドが生じる前に高い電流から低下してよく、その結果、最適電流は、ボイドを導入することのない可能な最大値未満であってよい。
しかしながら、この手順は、比較的高い開放領域、たとえば少なくとも0.9%以上の開放領域を有するTSVウエハで働くことがわからなかった。そのような比較的高い開放領域を有するウエハの上でめっきするとき、前述のように、高い電流でボイドが形成されることが観察された。しかしながら、より低い電流に移行するとき、ボイドは依然として発生し最終的に、図2の特徴200aに見られるなど、特徴の中にシームが形成されることが観察された。シームは、これらの条件下でめっきが特徴の側壁上で発生していることを示唆している。
高い開放領域の中で特徴をめっきするために適切な電流処理窓を見いだそうとして、本発明者らは、潜在的機構が側壁上でのこの明らかなめっきを考慮することを詳細に調べた。図5は、低い開放領域のウエハ502および高い開放領域のウエハ504をめっきするための分極図である。時間窓505の間、低い開放領域のウエハ502の電位は、安定した電位に到達するまでめっきが行われるにつれ徐々に増大する。対照的に、高い開放領域のウエハ504の電位は、時間窓505の間に分極するのにはるかに長くかかる。本発明者らは、この「肩部」が、第二銅イオンではなくむしろ第一銅イオンなど、より低い分極を必要とするめっきイオンの結果であることがあると考えた。
理論により制限されることを望まないが、シームがTSV特徴内で形成する問題は、バルク充填処理を開始するときに特徴の内側に第一銅イオンが存在することに原因があることがある。バルク電気充填期を開始するときの第一銅イオンのめっきは、図5の曲線で最初の低い分極により反映されていてよい。より広い開放領域を伴うウエハのめっきは、電気めっきするためにより多くの第一銅イオンおよび/またはより低い駆動力を有し、これは、より大きな分極遅延として現れる。
バルク電気めっき前、第一銅イオンは、特徴内部に局所的に高い濃度で蓄積していることがある。酸性電気めっき溶液内のCuシード層近くにある第一銅イオンの平衡濃度は、電位が開放回路電位に近づくにつれより高くなる。したがって、電流なし、または非常に低い電流(300mmウエハでは0mA~60mA)の誘導期間中にウエハ近くに、より高い第一銅イオン濃度が予測される。最終的に、電気めっき中、過剰な第一銅イオン濃度は、第一銅イオンが銅金属に還元されるにつれ低減する。
その結果、第一銅イオンの局所濃度は、めっきすべき、または今めっきされているウエハの表面に近接して比較的高い。この状態は、少なくとも最初に処理のバルク電気めっき期の間に存在する。最終的に電気めっき中、過剰な第一銅イオン濃度は、第一銅イオンが銅金属に還元されるにつれ低減する。場の区域での第一銅イオンの濃度は、ウエハ回転により生じる対流によって急速に低減する。しかしながら、ビア内の第一銅イオンは、対流がビア内深く到達しないのでより長時間より高い濃度のままでいる。さまざまな電流でめっきするブランケットウエハは、電圧プロファイルで肩部を示さず(データを示さず)、ビアの外側で第一銅イオンの急速な消費を支持することが観察されている。図5に示す分極遅延は、このことをさらに支持してよく、時間窓505の後に、低い開放領域のウエハ502および高い開放領域のウエハ504に関する分極曲線は再整列する。強い対流の下でさえ第一銅イオン濃度が高いままでいる特徴がより多く存在することを意味する開放領域増大につれ、図5に示すようにより長い遅延が観察され、ビア内の第一銅イオンが還元される時間窓505の後、低い開放領域のウエハ502および高い開放領域のウエハ504に関する分極曲線は再整列する。
第一銅イオンだけの濃度がより高いことは、ボイドを生じさせるのに不十分であることがあるが、第一銅イオンは、特徴内で添加物または添加物の副産物と複合体を形成し、複合体を形成した第一銅イオンは、側壁に付着する、または他の方法で、充填されている特徴の側壁上の抑制剤分子の抑制効果を妨げると考えられている。側壁上のめっきは堆積処理で早期に抑制されないので、めっきは側壁上で行われる可能性があり、これにより、充填された特徴内にシームを作り出す可能性がある。
第一銅イオンとは対照的に、第二銅イオンは、ウエハ特徴の側壁上の抑制を妨げないことがある。しかしながら、銅シード層が存在し、かつ界面の多くが特徴内に存在するウエハ表面で作り出されるので、第一銅イオンは、特徴内部に局所的に集中したままでいる可能性がある。
第一銅イオンからの妨害は、全電流に対してビア内の局所電流が高いことによる寄与がより小さいことに起因して、低い開放領域のウエハではより激しくないことがあると考えられている。誘導期の後、最初のめっきは、ビア内の第一銅イオンの濃度がより高いので、場よりもビア内でより容易である(すなわち、より低い過電圧で低減する)。いくつかの波形では、ビア電流密度は、分極を生じさせる場の電流密度よりも著しく高く、激しい側壁成長がない。高い開放領域のウエハ用に同じビア充填環境を有するためには、場の区域と比較して同様により高い電流密度をビア内で維持すべきである。
たとえば、開放領域が10μm×100μmのビア(深さ方向への開口部)を伴い0.05%から0.5%まで増大するとき、基板面上の全表面積は、102%から120%まで増大する(100%は、300mmウエハのブランケットウエハ領域である)。一例として、全電流は(高い開放領域の場合では)200%よりも多く増大して同様のビア電流密度および場の電流密度(場の電流密度×場の面積+ビア電流密度×ビア表面積)を達成してよい。表面積およびバルク充填電流の増大に基づく電流算出は、高い開放領域のウエハに関する最適電流を著しく過小評価する可能性がある。(低い開放領域の基板に関して適切であってよい)低電流めっきは、初期バルク充填段階中に初期分極を遅くすることがあり、側壁成長を生じさせると考えられている。その上、より高い電流は、第一銅イオンがビア内に豊富にある間にめっきの初期段階の間だけ有用であってよい。過剰な第一銅イオンをすべて低減し、特徴内の第一銅イオン濃度がバルク溶液内の濃度に近づくと、電流をより低いバルク充填電流に変更してよい。本開示のある種の実施形態は、堆積処理のバルク電気充填期を開始するときに特徴内部に存在する局所的に高い第一銅イオン濃度に対処することがある。
処理の流れ
図3は、いくつかの実施形態でTSVおよび高い開放領域を伴うウエハであってよいウエハの特徴の中に金属を電気めっきする処理301に関する流れ図を提示する。動作300から始まり、本明細書で記述するように、金属イオンを有する電気めっき溶液と充填すべき特徴を有する基板を接触させる。誘導期の間、300mmウエハについては約0mA~120mAの間などの最小電流をウエハに加えてよい。誘導電流は、特徴内部の銅シード層が第二銅イオンに変換する速度を低減してよい。
動作304で、ウエハに電流波形を加えることによりバルク電気充填処理を通して特徴を金属で充填する。図4Aは、電流および電圧の波形の例の図解を提供する。理解されてよいように、電流は、ゼロまたは最小レベルから始まり、第1のバルク電気充填電流段階に、次いで第2の電流段階に至るまでの傾斜部を伴うパルスが続く。電圧波形は、一般に電流波形に従う。
バルク電気充填動作304は、動作304Aでパルスから始まる。パルスは、さまざまな波形を使用して実現されてよい。図4Bは、4つの異なるパルスだけではなくパルスなしの波形も例示する。パルスは、一般に最大値に到達し、続いて第1の電流段階へ即時に降下する、またはある期間にわたり第1の電流段階まで低減する。いくつかの実施形態では、パルスの最大電流は、第1の電流段階の電流の少なくとも約2倍、または第1の段階の電流の約3倍~約5倍の間である。いくつかの実施形態では、パルスの最大電流は、300mmウエハでは約2A、または300mmウエハでは約0.5A~5Aの間であってよい。いくつかの実施形態では、パルスの継続期間は、第1の電流段階に降下する前に少なくとも約10秒ある。いくつかの実施形態では、パルスは、経時的に直線的に第1の電流段階まで低減する(「傾斜部」)。傾斜部の継続期間は、少なくとも30秒であってよい。いくつかの実施形態では、傾斜部を含むパルスの継続期間は、約10秒~200秒の間であってよい。いくつかの実施形態では、図4Bに示すように即時に下方に傾斜するのではなくむしろ最大電流は、下方に傾斜する前に少なくとも10秒などの継続期間、維持される。いくつかの実施形態では、下方への傾斜は、線形ではなく、異なる関数により低減してよい。
さまざまなパルス波形の効果を図4Cにより例示し、図4Cは、電気めっき処理の完了後、図4Bに例示する波形に関する平均傾斜部高さを示す。一般に、より高い平均傾斜部高さは、より速いめっき速度を示すのでより良好である。15秒のパルスは、最小の高さまたはめっき速度を示すが、さらにまたボイドのない堆積も提供した。40秒のパルスおよび下方に傾斜するパルスは、よりよい傾斜部高さまたはめっき速度を有し、2つの下方に傾斜する電流波形は、少し良好な傾斜部高さを有していた。これは、傾斜部が第一銅イオンを低減する、または第一銅イオン濃度の低下を経時的によりよく追従することに起因することがある。しかしながら、電流が増大するにつれボイドの危険性が増大する。
動作304Bで、第1の電流段階を適用する、またはベースライン電流を加える。上記で記述するように、典型的電気めっき処理では、多数の段階により電流を増大させてよい。パルスを加えた後、電流をベースラインまで低減し、電気めっきは、潜在的に多数の段階を通って進行する。パルス後にベースライン電流まで低下する段階は、ボイドを生じさせるのを回避するために重要であることがあり、加えた電流を長い間パルス値に維持する場合、図2の特徴200cおよび200dでは高い電流に関連した問題が発生することがある。ベースライン電流または第1の電流段階を使用することは、その後の段階で電流を増大させる前に下から上への充填機構を安定化させるのに役立つことがある。めっき速度は、一般により高い電流で増大するので、ボイドが発生しない限り加える電流を最大にすることは有利である。動作304Cは、めっき速度増大を達成するために1倍または複数倍電流を増大させる反復可能な動作である。一般に、各電流段階は、実質的に即座に電流を増大させる。動作306で、電気充填処理は完了し、動作306は、ウエハを異なる槽もしくは処理チャンバに移送するステップ、またはウエハ上で異なる処理を遂行するステップを含んでよい。
ウエハ充填速度および一様性
ボイドの存在を低減することに加えて、本明細書で記述する技法の他の利益は、高い開放領域および低い開放領域を有するダイまたはウエハの領域間の充填速度および充填の一様性を改善することである。図6は、パルスを実現するかどうかにかかわらず低い開放領域がボイドなしに充填されてよいことを例示する。しかしながら、パルスを使用することによりボイドの存在なしに特徴をより高速に充填できるようになる。同様に、高い開放領域は、上記で論じるように、電流波形がパルスを含まないときにボイドを有することがある。本明細書で記述するようにパルスを使用することにより、高い開放領域はボイドなしに、またはボイドを低減して充填できるようになるだけではなく、より速い速度で充填できるようになる。これは、パルスがボイドの危険性または存在を高めることなく下から上への充填に関して核形成効果を増大させることに起因することがある。これはまた、パルスが側壁成長を低減することに起因することがある。第一銅イオンを除去することにより、下から上への充填と側壁/場の成長の間の著しい差を改善してよい。
図7は、充填速度がどのようにしてダイまたはウエハの全面にわたりより一様になってよいかを実証する。パルスがなければ、ダイの密な領域部分または高い開放領域部分は、ダイの隔離された領域または低い開放領域部分と比較して低下しためっき速度を有することがある。パルスを使用することにより、高い開放領域および低い開放領域を有するダイの一部分間で充填速度の一様性を増大させてよい。ウエハ全面にわたるめっき速度の一様性がより大きいことは、その後の処理で除去する必要があるオーバーバーデンがより少ないので好ましい。
いくつかの実施形態では、本明細書で記述するパルス技法を約0.01%~5%の間の開放領域を有するウエハで使用してよい。パルスは、高い開放領域のウエハでボイドを回避するために有用であるが、本明細書で記述するパルスをより低い開放領域を有するウエハで使用して、図7を参照して記述するように、充填速度および充填の一様性を増大させてよい。その結果、本明細書で記述するパルス技法は、高い開放領域のウエハに限定されない。
電気めっき溶液
一般に、電気めっき溶液は、限定することなく硫酸銅、メタンスルホン酸銅、プロパンスルホン酸銅、グルコン酸銅、ピロリン酸銅、スルファミン酸銅、硝酸銅、リン酸銅、塩化銅、およりそれらのさまざまな組合せを含んでよい1つまたは複数の銅塩を含有することができる。いくつかの実施形態では、硫酸銅およびアルカンスルホン酸銅は、好ましい銅イオン供給源である。いくつかの実施形態では、硫酸銅およびアルカンスルホン酸銅の混合物を使用してよい。典型的電解質内の銅イオンの濃度は、約10g/L~200g/Lの間など、少なくとも約10g/Lである。いくつかの実施形態では、高濃度の銅は、より高速のめっきのために好ましい。たとえばいくつかの実施形態では、少なくとも約40g/L、たとえば少なくとも約60g/L(たとえば約40g/L~200g/Lの間、たとえば約60g/L~150g/L)のCu2+イオンを含有する高い銅電解質を使用する。いくつかの実施形態では、室温で(たとえば、約20℃~25℃で)電気めっきを遂行するが、他の実施形態では、銅塩の溶解度を、その結果、利用可能な銅イオン濃度を高めるために、高い温度で電気めっきを行うことが好ましいことがある。より高い温度はまた、銅イオンのより高速な拡散、したがってより高い達成可能なめっき速度を可能にする。たとえば、いくつかの実施形態では、約40℃~60℃の間など、約30℃~80℃の高い溶液温度で電気めっきを遂行する。最も一般的には、約20℃~60℃の電解質温度でめっきを遂行する。
いくつかの実施形態では(必ずしもではないが)電解質は硫酸、メタンスルホン酸、プロパンスルホン酸、硝酸、リン酸、塩酸、およびそれらのさまざまな組合せなどの酸をさらに含む。たとえば、電解質溶液は、一実施形態では硫酸銅および硫酸を含有する。硫酸および/またはメタンスルホン酸を使用するとき、約60g/Lなど、少なくとも約1g/Lの濃度が好ましい。酸は電解質の導電率を高めるように働く。好ましくは、約200mS/cm~300mS/cmの間の導電率を有する電解質など、少なくとも約40mS/cmの導電率を有する電解質を使用する。そのような電解質は、たとえば硫酸および/またはメタンスルホン酸を約60g/Lなど、少なくとも1g/Lの濃度で使用することにより得ることができる。いくつかの実施形態では、電解質は、約10g/L~200g/Lの間の濃度で硫酸および/またはメタンスルホン酸を備える。いくつかの実装形態では、約1M~2Mの間の強酸など、少なくとも約0.4Mの強酸を含有する高酸電解質は、高速めっきのために好ましい。たとえば、いくつかの実施形態では、約40g/L~200g/Lの間の硫酸、メタンスルホン酸、またはそれらの混合物を含有する高酸電解質を使用する。いくつかの実施形態では、約60g/Lの硫酸を使用する、および/または電解質は約0.6Mの強酸を有する。
いくつかの実施形態では、めっき溶液は、約5ppm~300ppmの間の濃度で塩素イオンを含有する。
いくつかの実施形態では、めっき溶液は加速剤をさらに含んでよい。加速剤は、堆積速度を増大させるのに役立ち、かつ微細構造を伴う膜に導く密な核形成を促進してよい硫黄、酸素、または窒素の官能基を含んでよい。いくつかの実施形態では、加速剤はS-Sジスルフィド基を含む。加速剤は、たとえば1ppm~200ppmの低い濃度レベルで存在してよい。加速剤の例は、3-メルカプト-1-プロパンスルホン酸、bis-(3-ナトリウムスルホプロピルジスルフィド)(SPS)、およびN,N-ジメチル-ジチオールカルバミルプロピルスルホナート(DPS)を含む。
いくつかの実施形態では、めっき溶液は、電気化学抑制剤をさらに含んでよい。抑制剤はめっき速度を低減し、かつ通常は加速剤よりも高い濃度で、たとえば5ppm~1,000ppmでめっき槽に存在する添加剤である。抑制剤は一般に、ポリエチレングリコール(PEG)およびポリエチレンオキシド(PEO)、または両方のブロック共重合体など、高分子量の高分子界面活性剤である。抑制剤分子は、表面上に吸着して銅イオンに対する障壁層を形成することにより堆積速度を遅くする。抑制剤は、サイズが大きく拡散速度が遅いので、TSVの最下部でより低い初期濃度をもたらすウエハの場よりもTSVのより低い部分に到達する可能性があまりない。したがって、抑制効果の大部分は、基板の表面(場の区域)で最初に起こり、オーバーバーデンを低減してTSV穴が「閉じる」のを回避するのに役立つ。いくつかの実施形態では、抑制剤と組み合わせて加速剤を使用する。抑制剤の大きなサイズは、抑制剤が加速剤よりも遅く特徴の中に拡散できるようにし、したがって、特徴に関して最下部で加速剤の初期増大が存在する。これは次いで、抑制剤の吸着を食い止めて、したがって、下から上への充填を駆動する、場と特徴の最下部の間の抑制差を生み出す。
いくつかの実施形態では、めっき溶液はレベラを含んでよい。レベラは、高速に動く加速された表面を非活動化し、さらにまた場を分極させることが目的の添加剤である。レベラは、あったとしても1ppm~500ppmなど、非常に小さな濃度で存在し、表面でのレベラの遮断効果は非常に局所化している。レベラはまた(抑制剤分子と異なり)一般に電気化学的に活性化している。表面でのレベラの反応速度は、レベラの濃度が一般に低いことと組み合わせて、多くの場合レベラを拡散効果により駆動させる。その結果、レベラは主として、めっきされた基板の高い地点での堆積を選択的に低減し、低い地点を平らにするようになる。さらにまたこの挙動を使用して、ウエハの場での成長速度に対してTSVの基部で銅のめっき速度を強化できる。場合によっては、レベラは、ウエハ界面でCu(I)イオンを伴う複合体を形成する傾向を示す窒素原子を含む官能基を含有してよい。
いくつかの実施形態では、添加剤は、添加剤の欠如する状態で得られた場内の電流密度に対して、TSVの上側リップで場内の電流密度(およびめっき速度)をさらに低減する。添加剤は、特徴開口部に対して特徴最下部で相対的めっき速度を増大させることにより、ボイドなしの充填を達成するのに役立ち、DSI化合物との相乗作用で使用できる。この差は多くの場合「均一電着性」と呼ばれる。
適切な電解質組成の例を以下に列挙する。
1. 60g/lのCu2+(硫酸銅の形態の)/60g/lのH2SO4/60ppmのCl-+60ppmのベンジルジメチルヘキサデシルアンモニウムクロリド
2. 50g/lのCu2+(硫酸銅の形態の)/100g/lのH2SO4/50ppmのCl-+50ppmのベンジルジメチルヘキサデシルアンモニウムクロリド
3. 70g/lのCu2+(硫酸銅の形態の)/50ppmのベンジルジメチルヘキサデシルアンモニウムクロリド
4. 50g/lのCu2+(硫酸銅の形態の)/100g/lのH2SO4+50ppmのベンジルジメチルヘキサデシルアンモニウムクロリド
5. 50g/lのCu2+/10g/lのH2SO4+30ppmの臭化トンゾニウム
6. 120g/lのCu2+(メタンスルホン酸銅の形態の)/20g/lのメタンスルホン酸/50ppmのCl-+1ppmの加速剤+200ppmの抑制剤+Enthone DVF200C
装置
図8は、電気めっきを行ってよい電気めっきセルの例を提示する。多くの場合、電気めっき装置は、基板(たとえば、ウエハ)を中で処理する1つまたは複数の電気めっきセルを含む。明瞭性を保つために1つだけの電気めっきセルを図8に示す。下から上への電気めっきを最適化するために、電解質に添加剤(たとえば、加速剤、抑制剤、およびレベラ)を追加するが、しかしながら、添加剤を伴う電解質は、望ましくない方法で陽極と反応することがある。したがって、異なる組成のめっき溶液を各区域で使用してよいように、めっきセルの陽極区域および陰極区域は、膜により分離されことがあってもてよい。陰極区域内のめっき溶液は陰極液と呼ばれ、陽極区域内のめっき溶液は陽極液と呼ばれる。めっき装置の中に陽極液および陰極液を導入するためにいくつかの工学的設計を使用できる。
図8を参照すると、一実施形態による電気めっき装置801の図式による横断面図を示す。めっき槽803は、あるレベル805で示される(本明細書で提供するような組成を有する)めっき溶液を含有する。この容器の陰極液部分は、陰極液の中で基板を受け入れるように適合されている。ウエハ807は、めっき溶液の中に浸漬させられ、たとえばウエハ807と一緒に「クラムシェル」基板ホルダ809の回転を可能にする回転可能なスピンドル811に搭載されたクラムシェル基板ホルダ809により保持される。本発明で使用するのに適したアスペクトを有するクラムシェル型めっき装置についての一般的記述は、全体が参照により本明細書に組み入れられる、Pattonらに付与された米国特許第6,156,167号明細書およびReidらに付与された米国特許第6,800,187号明細書で詳細に記述されている。
陽極813は、めっき槽803内部でウエハの下方に配置され、膜815,好ましくはイオン選択性膜によりウエハ区域から分離される。たとえば、ナフィオン(Nafion)(登録商標)陽イオン交換膜(cationic exchange membrane、CEM)を使用してよい。陽極膜の下方の区域は多くの場合「陽極チャンバ」と呼ばれる。イオン選択性陽極膜815は、めっきセルの陽極区域と陰極区域の間のイオン伝達を可能にし、一方、陽極で発生した粒子がウエハの近傍に入りウエハを汚染するのを防止する。陽極膜はまた、めっき処理中に電流の流れを再分布させ、それによりめっき一様性を改善するのに有用である。適切な陽極膜についての詳細な説明は、両方とも全体が参照により本明細書に組み入れられる、Reidらに付与された米国特許第6,126,798号明細書および米国特許第6,569,299号明細書に提供されている。陽イオン交換膜などのイオン交換膜は、これらの用途に特に適している。これらの膜は典型的には、スルホン酸基を含有する全フッ素置換された共重合体(たとえば、ナフィオン(登録商標))、スルホン化ポリイミド、および陽イオン交換に適した、当業者に公知の他の材料などのアイオノマー材料から作られる。適切なナフィオン(登録商標)膜の選択された例は、Dupont de Nemours Co.から入手可能なN324膜およびN424膜を含む。
めっき中、めっき溶液から得られたイオンは基板上に堆積する。金属イオンは、拡散境界層を通りTSV穴または他の特徴の中に拡散しなければならない。拡散を支援する典型的方法は、ポンプ817により供給される電気めっき溶液の対流の流れによる。さらには振動攪拌部材または音波攪拌部材だけではなくウエハ回転も使用してよい。たとえば、振動変換器808をクラムシェル基板ホルダ809に付着させてよい。
ポンプ817によりめっき槽803にめっき溶液を連続的に提供する。一般に、めっき溶液は、陽極膜815および拡散プレート819を通り上方にウエハ807の中心に、次いで半径方向外側にウエハ807の全面にわたり流れる。さらにまた、めっき槽803の側面から槽の陽極区域の中にめっき溶液を提供してよい。めっき溶液は、次いでめっき槽803からあふれ出て、越流貯蔵器821に流れる。めっき溶液は、次いでフィルタ処理され(図示せず)ポンプ817に戻り、めっき溶液の再循環を完了する。ある種のめっきセル構成では、控えめな透過性膜またはイオン選択性膜を使用して主めっき溶液との混合を防止しながら、陽極を包含するめっきセルの一部分を通り別個の電解質を循環させる。
基準電極831は、別個のチャンバ833内のめっき槽803の外側に位置し、そのチャンバは、主めっき槽803からの越流により補充される。あるいはいくつかの実施形態では、基準電極は、基板表面にできるだけ近く位置決めされ、基準電極チャンバは、毛細管チューブを介して、または別の方法によりウエハ基板の側面に、またはウエハ基板の真下に接続される。好ましい実施形態のいくつかでは、装置は接触検知リード線をさらに含み、接触検知リード線はウエハの周辺に接続し、ウエハの周辺で金属シード層の電位を検知するがウエハに電流をまったく運ばないように構成される。
基準電極831は、典型的には制御された電位で電気めっきすることが望ましいときに採用される。基準電極831は、水銀/硫酸水銀、塩化銀、飽和カロメル、または銅金属など、一般使に使用されるさまざまなタイプの1つであってよい。ウエハ807と直接接触している接触検知リード線は、いくつかの実施形態では、より正確な電位測定のために基準電極に加えて使用されてよい(図示せず)。
直流電源835を使用して、ウエハ807への電流の流れを制御できる。電源835は、1つまたは複数のスリップリング、ブラシ、および接点(図示せず)を通してウエハ807に電気的に接続された負出力リード線839を有する。電源835の正出力リード線841は、めっき槽803内に位置する陽極813に電気的に接続される。電源835、基準電極831、および接触検知リード線(図示せず)をシステムコントローラ847に接続でき、それにより、とりわけ電気めっきセルの要素に提供される電流および電位の変調が可能になる。たとえば、コントローラは、電位制御され電流制御された方式で電気めっきできるようにしてよい。コントローラは、めっきセルのさまざまな要素に加える必要がある電流および電圧のレベルを指定するだけではなく、これらのレベルを変える必要がある時間も指定するプログラム命令を含んでよい。順電流を加えるとき、電源835は、陽極813に対して負電位を有するようにウエハ807にバイアスをかける。これにより、電流は陽極813からウエハ807に流れ、ウエハ表面(陰極)上で電気化学還元(たとえば、Cu2++2e-=Cu0)が起こり、その結果、ウエハの表面上に導電層(たとえば、銅)が堆積する。不活性陽極814は、めっき槽803内部のウエハ807の下方に設置され、膜815によりウエハ区域から分離されてよい。
装置はまた、めっき溶液の温度を特有のレベルに維持するためのヒータ845を含んでよい。めっき溶液を使用して、めっき槽のその他の要素に熱を伝達してよい。たとえば、めっき槽の中にウエハ807をロードしたとき、装置全体の温度が実質的に一様になるまで、ヒータ845およびポンプ817をオンにして、電気めっき装置801を通してめっき溶液を循環させてよい。一実施形態では、ヒータは、システムコントローラ847に接続される。システムコントローラ847を熱電対に接続して、電気めっき装置内部のめっき溶液温度のフィードバックを受信し、追加加熱の必要性を判断してよい。
コントローラは、典型的には1つまたは複数の記憶装置、および1つまたは複数のプロセッサを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入力/出力接続、ステップモータ・コントローラ・ボードなどを含んでよい。ある種の実施形態では、コントローラは、めっき装置の活動のすべてを制御する。本実施形態による処理動作を制御するための命令を包含する非一時的機械可読媒体は、システムコントローラに連結されてよい。
典型的には、コントローラ847に関連するユーザインタフェースが存在する。ユーザインタフェースは表示画面、装置および/または処理条件のグラフィカルソフトウェア表示、ならびにユーザ入力機器、たとえばポインティング機器、キーボード、タッチ画面、マイクロホンなどを含んでよい。めっき処理を制御するためのコンピュータ・プログラム・コードを任意の従来のコンピュータ可読プログラミング言語で、たとえばアセンブラ言語、C、C++、パスカル、フォートランなどで書くことができる。プロセッサは、コンパイルされたオブジェクトコードまたはスクリプトを実行して、プログラムで識別されたタスクを遂行する。本明細書の実施形態により使用されてよいめっき装置の一例は、Lam Research Saberツールである。より大きな電着装置を形成する構成要素の中で電着を遂行できる。
図9は、電着装置の例の上面図の概略を示す。電着装置900は、3つの分離した電気めっきモジュール902、904、および906を含むことができる。電着装置900はまた、さまざまな処理動作のために構成された、3つの分離したモジュール912、914、および916を含むことができる。たとえばいくつかの実施形態では、モジュール912、914、および916の1つまたは複数は、スピンすすぎ洗浄(spin rinse drying、SRD)モジュールであってよい。他の実施形態では、モジュール912、914、および916の1つまたは複数は、電気充填後モジュール(post-electrofill module、PEM)であってよく、縁部ベゼル除去、裏側エッチング、および電気めっきモジュール902、904、および906の1つにより基板を処理した後の、基板の酸洗浄などの機能を遂行するようにそれぞれ構成される。
電着装置900は、中央電着チャンバ924を含む。中央電着チャンバ924は、電気めっきモジュール902、904、および906内で電気めっき溶液として使用する化学溶液を保持するチャンバである。電着装置900はまた、電気めっき溶液のための添加物を貯蔵し、配送してよい投与システム926を含む。化学希釈モジュール922は、エッチング液として使用すべき化学物質を貯蔵および混合してよい。濾過およびポンピングユニット928は、中央電着チャンバ924のために電気めっき溶液をフィルタ処理して電気めっきモジュールにポンプで送り込む。
システムコントローラ930は、電着装置900を動作させるために必要とされる電子制御およびインタフェース制御を提供する。システムコントローラ930(1つまたは複数の物理コントローラおよび論理コントローラを含んでよい)は、電気めっき装置900の特性の一部またはすべてを制御する。
処理を監視するための信号は、システムコントローラ930のアナログおよび/またはデジタルの入力接続により、さまざまな処理ツールセンサから提供されてよい。処理を制御するための信号を、処理ツールのアナログおよびデジタルの出力接続上に出力してよい。監視してよい処理ツールセンサの限定しない例は、質量流コントローラ、(圧力計などの)圧力センサ、熱電対、光学式位置センサなどを含む。これらのセンサから得られるデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを使用して処理条件を維持してよい。
ハンド・オフ・ツール940は、カセット942またはカセット944などの基板カセットから基板を選択してよい。カセット942または944は、FOUP(front opening unified pod、前面開口式一体型ポッド)であってよい。FOUPは、制御された環境で基板を確実かつ安全に保持して、適合するロードポートおよびロボット取扱いシステムを具備するツールにより処理または測定するために基板を取り除くことができるようにするように設計された密閉箱である。ハンド・オフ・ツール940は、真空取付具または何らかの他の取付機構を使用して基板を保持してよい。
ハンド・オフ・ツール940は、ウエハ取扱いステーション932、カセット942もしくは944、移送ステーション950、または露光装置948にインタフェースしてよい。移送ステーション950から、ハンド・オフ・ツール946は基板にアクセスできてよい。移送ステーション950は、ハンド・オフ・ツール940および946が露光装置948を通り抜けることなく基板を渡してよいスロットまたは位置にあってよい。しかしながら、いくつかの実施形態では、電気めっきモジュールに精度よく配送するためにハンド・オフ・ツール946上で基板を適切に一直線に合わせるのを確実にするために、ハンド・オフ・ツール946は、露光装置948と基板を一直線に合わせてよい。ハンド・オフ・ツール946はまた、電気めっきモジュール902、904、もしくは906の1つに、またはさまざまな処理動作のために構成された、3つの分離したモジュール912、914、および916の1つに、基板を配送してよい。
上記で記述した方法による処理動作のある例は、以下のように進む。すなわち、(1)電気めっきモジュール904内で基板の上に銅または他の材料を堆積させ、(2)モジュール912内のSRD内で基板をすすいで、乾燥させ、(3)モジュール914内で縁部ベゼル除去を遂行する。
順次のめっき、すすぎ、乾燥、およびPEM処理動作を通して基板を効果的に循環させることができるようにするように構成された装置は、製造環境で使用するために実装するのに有用であってよい。これを達成するために、モジュール912をスピンすすぎ乾燥装置および縁部ベゼル除去チャンバとして構成することができる。そのようなモジュール912を用いる場合、銅めっきおよびEBR動作のために電気めっきモジュール904とモジュール912の間で基板を移送する必要があるだけである。いくつかの実施形態では、本明細書で記述する方法を、電気めっき装置およびステッパを備えるシステム内に実装する。
電着装置1000の代替実施形態を図10に概略的に例示する。本実施形態では、電着装置1000は、対をなすまたは多数の「デュエット」構成でそれぞれ電着槽を包含する1組の電気めっきセル1007を有する。電着自体に加えて、電着装置1000は、たとえばスピンリンシング(spin-rinsing)、スピン乾燥、金属およびシリコンのウエットエッチング、無電解堆積、プリウェッティング(pre-wetting)および事前化学処理、還元、アニーリング、電解エッチングおよび/または電解研磨、フォトレジスト剥離、ならびに表面事前活性化など、さまざまな他の電着関係処理およびサブステップを遂行してよい。図10に電着装置1000を下から上に見て概略的に示し、図では単一レベルまたは「フロア」だけを明らかにするが、そのような装置、たとえばLam Sabre(商標)3Dツールがそれぞれ同一タイプまたは異なるタイプの処理ステーションを潜在的に有する、互いの上に2つ以上の「積み重ねた」レベルを有する可能性があることを当業者は容易に理解すべきである。
もう一度図10を参照すると、電気めっきすべき基板1006は、一般にフロントエンド・ローディングFOUP1001を通して電着装置1000に供給され、この例では、スピンドル1003により多次元で駆動された基板1006を一方のステーションから別の利用可能なステーションに納め動かすことができるフロント・エンド・ロボット1002を介して、FOUPから電着装置1000の主基板処理領域に持って行き、この例では、2つのフロント・エンド・アクセス可能ステーション1004およびさらにまた2つのフロント・エンド・アクセス可能ステーション1008を示す。フロント・エンド・アクセス可能ステーション1004および1008は、たとえば事前処理ステーションおよびスピンリンス乾燥(spin rinse drying、SRD)ステーションを含んでよい。フロント・エンド・ロボット1002の側面から側面への横方向の動きは、ロボットスタック1002aを利用して達成される。基板1006の各々は、モータ(図示せず)に接続されたスピンドル1003により駆動されるカップ/コーン組立体(図示せず)により保持されてよく、モータは、搭載ブラケット1009に付着してよい。さらにまたこの例に示すのは、合計8つの電気めっきセル1007に関する、電気めっきセル1007の4つの「デュエット」である。システムコントローラ(図示せず)を電着装置1000に連結して、電着装置1000の性質の一部またはすべてを制御してよい。本明細書ですでに記述した処理に従って命令を実行するようにシステムコントローラをプログラムしてよい、または他の方法で構成してよい。
システムコントローラ
いくつかの実装形態では、コントローラは、上述の例の一部であってよいシステムの一部である。そのようなシステムは、1つもしくは複数の処理ツール、1つもしくは複数のチャンバ、処理するための1つもしくは複数のプラットフォーム、および/または特有の処理構成要素(ウエハペダル、ガス流システムなど)を含む半導体処理設備を備えることができる。これらのシステムは、半導体ウエハまたは半導体基板を処理する前、処理する間、および処理後に自身の動作を制御するための電子機器と一体化されてよい。電子機器は、1つまたは複数のシステムのさまざまな構成要素または下位区分を制御してよい「コントローラ」と呼ばれることがある。処理要件および/またはシステムのタイプに応じて、コントローラをプログラムして処理ガスの配送、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、出力設定、無線周波数(radio frequency、RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体配送設定、位置および動作の設定、ツールおよび他の移送ツールの中へ、およびそれらから外へのウエハ移送、ならびに/または特有のシステムに接続された、もしくはそれとインタフェースをとるロードロックを含む、本明細書で開示する処理のいずれも制御してよい。
概して、コントローラはさまざまな集積回路、論理回路、メモリ、および/または命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどを行うソフトウェアを有する電子回路として規定されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル・シグナル・プロセッサ(digital signal processor、DSP)、特定用途向け集積回路(application specific integrated circuit、ASIC)として規定されるチップ、および/またはプログラム命令(たとえば、ソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、半導体ウエハ上での、もしくは半導体ウエハのための、またはシステムに対する特定の処理を行うための動作パラメータを規定するさまざまな個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であってよい。動作パラメータは、いくつかの実施形態では、1つもしくは複数の層、材料、金属、酸化物、ケイ素、酸化ケイ素、表面、回路、および/またはウエハのダイを製作する間、1つまたは複数の処理ステップを達成するために処理技術者が規定するレシピの一部であってよい。
コントローラは、いくつかの実装形態では、システムと一体化された、システムに連結された、システムに他の方法でネットワーク化された、またはそれらを組合せたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。たとえば、コントローラは、「クラウド」の中にあってよい、または半導体工場のホスト・コンピュータ・システムのすべてもしくは一部であってよく、これにより、ウエハ処理の遠隔アクセスを可能にできる。コンピュータは、製作動作の現在の進展を監視し、過去の製作動作の履歴を調べ、複数の製作動作から傾向または性能指標を調べるためにシステムへの遠隔アクセスを可能にして、現在の処理のパラメータを変更して、現在の処理に続く処理ステップを設定してよい、または新しい処理を開始してよい。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介してシステムに処理レシピを提供できる。遠隔コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインタフェースを含んでよく、パラメータおよび/または設定は、次いで遠隔コンピュータからシステムに伝達される。いくつかの例では、コントローラは、1つまたは複数の動作の間に遂行すべき処理ステップごとにパラメータを指定する、データの形態の命令を受け取る。パラメータは、遂行すべき処理のタイプ、およびコントローラがインタフェースをとる、または制御するように構成されたツールのタイプに特有であってよいことを理解されたい。したがって、上記で記述するように、コントローラは、本明細書で記述する処理および制御など、共通の目的に向かって作動する一緒にネットワーク化された1つまたは複数の別個のコントローラを備えることによるなど、分散させられてよい。そのような目的のための分散コントローラのある例は、チャンバ上の処理を制御するために組み合わせる(プラットフォームレベルで、または遠隔コンピュータの一部としてなど)遠隔に位置する1つまたは複数の集積回路と通信状態にある、チャンバ上の1つまたは複数の集積回路である。
限定することなく、例示のシステムは、プラズマ・エッチング・チャンバまたはモジュール、堆積チャンバまたはモジュール、スピン・リンス・チャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベル縁部エッチングチャンバまたはモジュール、物理蒸着法(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(atomic layer deposition、ALD)チャンバまたはモジュール、原子層エッチング(atomic layer deposition、ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連づけられてよい、またはそれで使用されてよい、任意の他の半導体処理システムを含んでよい。
上記で指摘するように、ツールが遂行すべき1つまたは複数の処理ステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、近接するツール、隣接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツールの場所および/またはロードポートとの間でウエハの容器を運ぶ材料搬送で使用するツールのうち1つまたは複数と通信してよい。
図11は、電気めっき装置の簡略化した横断面図を描く。装置は、電気めっきセル1101を含み、基板1102は、基板ホルダ1103の中に位置決めされる。基板ホルダ1103は、多くの場合カップと呼ばれ、基板1102をその周囲で支持してよい。陽極1104を電気めっきセル1101の最下部近くに位置決めする。陽極1104は、膜フレーム1106により支持される膜1105により基板1102から分離される。膜フレーム1106は、陽極を収容する陽極チャンバの最上部を確定するので、陽極チャンバ膜フレームと呼ばれることがある。さらに、陽極1104は、イオン抵抗性要素1107により基板1102から分離される。イオン抵抗性要素1107は、電解質がイオン抵抗性要素1107を通って移動して基板1102の上に衝突することができるようにする開口部を含む。基板1102の周囲の最も近くでイオン抵抗性要素1107の上方に前側挿入物1108を位置決めする。前側挿入物1108は、図示するように環状であってよく、方位角的に均一ではなくてよい。前側挿入物1108は、直交流制限リングとも呼ばれることがある。
陽極チャンバ1112は、膜1105の下方にあり、陽極1104が位置する場所にある。イオン抵抗性要素多岐管1111は、膜1105の上方、かつイオン抵抗性要素1107の下方にある。注水溝1140と接続されてよい注入口1116は、イオン抵抗性要素多岐管1111に陰極液を配送し、電気めっき中に膜1105に注水するように作用してよい。この例では、注入口1116および注水溝1140は、陰極液注入口1118を通過する電解質により供給を受ける。直交流多岐管1110は、イオン抵抗性要素1107の上方、かつ基板1102の下方にある。直交流多岐管の高さは、(存在する場合には、イオン抵抗性要素1107の上面上にあるリブ1115を除外して)基板1102とイオン抵抗性要素1107の平面の間の距離であると考えられる。いくつかの事例では、直交流多岐管は、約1mm~4mmの間、または約0.5mm~15mmの間の高さを有してよい。直交流多岐管1110は、直交流多岐管1110内部に直交流動電解質を包含するように作用する前側挿入物1108により両側面が規定される。直交流多岐管1110に至る側面注入口1113は、直交流多岐管1110に至る側面流出口1114に方位角的に対向して提供される。少なくとも一部は前側挿入物1108により側面注入口1113および側面流出口1114を形成してよい。図11で矢印により示すように、電解質は、陰極液注入口1118から側面注入口1113を通って直交流多岐管1110の中に入り、側面流出口1114の外に移動する。さらには、電解質は、イオン抵抗性要素多岐管1111に至る1つまたは複数の注入口(たとえば、注水溝1140内の注入口、および/または他の注入口)を通ってイオン抵抗性要素多岐管1111の中に入り、イオン抵抗性要素1107内の開口部を通って直交流多岐管1110の中に入り、側面流出口1114の外に移動してよい。側面流出口1114を通過後、電解質は、堰止壁1109を越えてあふれ出る。電解質を回収して、再利用してよい。
ある種の実施形態では、イオン抵抗性要素1107は、基板(陰極)の最も近くでほぼ一定の均一な電流原を近似し、したがって、いくつかの状況では高抵抗仮想陽極(high resistance virtual anode、HRVA)またはチャネル付きイオン抵抗性要素(channeled ionically resistive element、CIRP)と呼ばれることがある。通常、イオン抵抗性要素1107は、ウエハに極めて接近して置かれる。対照的に、同じく基板に非常に近い陽極は、ウエハにほぼ一定の電流を供給する傾向が著しく低いが、陽極金属面で定電位面を単に支持するだけであり、それにより、陽極面から終端までの(たとえば、ウエハ上の周囲の接点までの)正味の抵抗がより低い場合に電流が最も大きくなることができるようにする。そのために、イオン抵抗性要素1107は、高抵抗仮想陽極(HRVA)と呼ばれているが、これは、イオン抵抗性要素1107と高抵抗仮想陽極(HRVA)が電気化学的に交換可能であることを示唆するものではない。ある種の動作条件の下では、イオン抵抗性要素1107は、仮想均一電流源をより近く近似し、多分より適切に仮想均一電流源と呼ばれ、ほぼ一定の電流は、イオン抵抗性要素1107の上面全体から供給される。
イオン抵抗性要素1107は、すべての実装形態ではないが多くの実装形態で空間的かつイオン的に互いに分離され、かつイオン抵抗性要素の本体内部に相互接続チャネルを形成しない(典型的には、0.04インチ未満の)微小サイズの貫通孔を包含する。そのような貫通孔は多くの場合、非連通貫通孔と呼ばれる。そのような貫通孔は、典型的にはウエハのめっき表面に必ずしもではないが多くの場合垂直に、一方向に伸長する(いくつかの実施形態では、非連通貫通孔は、イオン抵抗性要素の前面に概して平行なウエハに対して角度をなす)。貫通孔は多くの場合、互いに平行である。貫通孔は多くの場合、正方形のアレイ状に配列される。そうではないとき、レイアウトは、ずれたらせんパターンである。これらの貫通孔は、中の表面に平行なイオン電流の流れと(ある種の事例では)流体の流れの両方を再構築して、ウエハ表面に向けた電流の流れと流体の流れの両方の経路をまっすぐにするので、チャネルが3次元で伸長して相互接続細孔構造を形成する3D多孔性ネットワークとは異なる。しかしながら、ある種の実施形態では、相互接続された細孔のネットワークを有するそのような多孔性プレートをイオン抵抗性要素として使用してよい。プレートの最上部表面からウエハまでの距離が短いとき(たとえば、ウエハ半径のサイズの約1/10、たとえば約5mm未満のギャップ)、電流の流れと流体の流れの両方の発散は、局所的に制限され、分け与えられ、イオン抵抗性要素チャネルと一直線に合わせられる。
一例のイオン抵抗性要素1107は、イオン的かつ電気的に抵抗性のある個体状の非多孔性誘電性材料から作られたディスクである。材料はまた、使用するめっき溶液内で化学的に安定している。ある種の事例では、イオン抵抗性要素1107は、約6,000個~12,000個の間の非連通貫通孔を有する、セラミック材料(たとえば、酸化アルミニウム、酸化第二スズ、酸化チタン、または金属酸化物の混合物)またはプラスチック材料(たとえば、ポリエチレン、ポリプロピレン、フッ化ポリビニリデン(polyvinylidene difluoride、PVDF)、ポリテトラフルオロエチレン、ポリスルホン、ポリ塩化ビニル(polyvinyl chloride、PVC)、ポリカーボネートなど)から作られる。イオン抵抗性要素1107は、多くの実施形態では、ウエハと実質的に同一の広がりを有し(たとえば、イオン抵抗性要素1107は、300mmウエハで使用するとき、約300mmの直径を有する)、ウエハに極めて接近して、たとえば、ウエハ面が下を向く電気めっき装置ではウエハの真下に存在する。好ましくは、ウエハのめっき表面は、最も近いイオン抵抗性要素表面の約10mm以内に、より好ましくは約5mm以内に存在する。この目的を達成するために、イオン抵抗性要素1107の最上部表面は平坦であっても、実質的に平坦であってもよい。多くの場合、イオン抵抗性要素1107の最上部表面も最下部表面も平坦である、または実質的に平坦である。しかしながら、いくつかの実施形態では、イオン抵抗性要素1107の最上部表面は、以下でさらに記述するように一連の直線状リブを含む。
上記のように、プレート1107の全イオン抵抗および全流れ抵抗は、プレートの厚さ、および穴の全多孔性(プレートを通る流れのために利用可能な領域の割合)と穴のサイズ/直径の両方に依存する。より多孔性の低いプレートは、より速い衝突流速およびより大きなイオン抵抗を有する。同じ多孔性のプレートを比較すると、より小さな直径の1D穴(したがって、より多数の1D穴)を有するプレートは、より多く同じギャップ全体にわたり広がることができる点電流源として作用し、さらにまたより高い総圧力降下(高粘度の流れ抵抗)を有する個々の電流源がより多く存在するのでウエハ上でより微少で均一な電流分布を有する。
いくつかの事例では、イオン抵抗性要素1107の約1%~10%は、イオン電流が流れることができる(かつ開口部を妨害する他の要素が存在しない場合には電解質が通過できる)開放領域である。特定の実施形態では、イオン抵抗性要素1107の約2%~5%は開放領域である。具体的例では、イオン抵抗性要素1107の開放領域は約3.2%であり、実効的総開放横断面積は約23cm2である。いくつかの実施形態では、イオン抵抗性要素1107内に形成された非連通孔の直径は、約0.01インチ~0.08インチである。いくつかの事例では、孔の直径は、約0.02インチ~0.03インチ、または約0.03インチ~0.06インチの間である。さまざまな実施形態では、孔は、イオン抵抗性要素1107とウエハの間のギャップ距離のせいぜい約0.2倍の直径を有する。孔の横断面は概して円形であるが、そうである必要はない。さらに、構築を容易にするために、イオン抵抗性要素1107内のすべての孔は、同じ直径を有してよい。しかしながら、そうである必要なく、孔の個々のサイズも局所密度も、具体的要件が規定することがあるとき、イオン抵抗性要素表面全体にわたり変動してよい。
図11に示すイオン抵抗性要素1107は、ページの中に/ページの外に伸長する一連の直線状リブ1115を含む。リブ1115は、突出部と呼ばれることがある。リブ1115は、イオン抵抗性要素1107の最上部表面上に位置決めされ、多くの事例ではリブの長さ(たとえば、リブの最長寸法)が直交流動電解質の方向に垂直になるように配向される。特定の実施形態では、リブ1115は、その長さが直交流動電解質の方向に平行になるように配向されてよい。リブ1115は、直交流多岐管1110内部で流体の流れおよび電流分布に影響を及ぼす。実例では、電解質の直交流は、リブ1115の最上部表面の上方にある領域に大部分は制限され、この領域内に高速の電解質直交流を生み出す。隣接するリブ1115間の区域では、イオン抵抗性要素1107を通って上方に配送される電流は再分布し、基板表面に配送される前に、より均一になる。
図11では、直交流動電解質の方向は、左から右(たとえば、側面注入口1113から側面流出口1114へ)であり、リブ1115は、リブ1115の長さがページの中に/ページから外に伸長するように配向される。ある種の実施形態では、リブ1115は、(図11で左から右に測定して)約0.5mm~1.5mmの間、または約0.25mm~10mmの間の幅を有してよい。リブ1115は、(図11で上から下に測定して)約1.5mm~3.0mmの間、または約0.25mm~7.0mmの間の高さを有してよい。リブ1115は、約5/1~2/1の間、または約7/1~1/7の間の高さ:幅アスペクト比(高さ/幅)を有してよい。リブ1115は、約10mm~30mmの間、または約5mm~150mmの間のピッチを有してよい。リブ1115は、(図11のページの中に/外に測定して)イオン抵抗性要素1107の面を越えて伸長する可変の長さを有してよい。リブ1115の上面と基板1102の表面の間の距離は、約1mm~4mmの間、または約0.5mm~15mmの間であってよい。リブ1115は、図11に示すように、基板とおよそ同一の広がりを有する領域の全面にわたって提供されてよい。イオン抵抗性要素1107内のチャネル/開口部は、隣接するリブ1115の間に位置決めされてよい、またはリブ1115を通って伸長してよい(換言すれば、リブ1115はチャネル付きであっても、チャネル付きではなくてもよい)。いくつかの他の実施形態では、イオン抵抗性要素1107は、平坦な(たとえば、リブ1115を含まない)上面を有してよい。上にリブを伴うイオン抵抗性要素を含む、図11に示す電気めっき装置については、全体が参照により本明細書に組み入れられる、「ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASS TRANSFER DURING ELECTROPLATING(電気めっき中の効率的な質量輸送のための電解質流体力学の強化)」と題する米国特許第9,523,155号明細書でさらに論じられている。
装置は、特定の用途で必要なときにさまざまな追加要素を含んでよい。いくつかの事例では、直交流多岐管内部に、基板の周囲の最も近くに縁部流れ要素を提供してよい。縁部流れ要素は、基板の縁部近くで高度な電解質の流れ(たとえば、直交流)を促進するように形作られ、位置決めされてよい。縁部流れ要素は、ある種の実施形態では、環状であっても弧状であってよく、方位角的に均一であっても均一ではなくてもよい。縁部流れ要素については、全体が参照により本明細書に組み入れられる、2015年10月27日に提出された、「EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS(電気めっき装置用の縁部流れ要素)」と題する米国特許出願公開第14/924,124号明細書でさらに論じられている。
いくつかの事例では、装置は、直交流多岐管を一時的に密封するための密封部材を含んでよい。密封部材は、環状であっても弧状であってもよく、直交流多岐管の縁部の最も近くに位置決めされてよい。環状密封部材は、直交流多岐管全体を密封してよく、一方、弧状密封部材は、直交流多岐管の一部分を密封してよい(いくつかの事例では、側面流出口を開放したまま残す)。電気めっき中、密封部材は繰り返し、直交流多岐管に係合して密封し、直交流多岐管を切り離して密封を解いてよい。密封部材は基板ホルダ、イオン抵抗性要素、前側挿入物、または密封部材に係合する装置の他の一部分を動かすことにより係合され、切り離されてよい。密封部材、および直交流を変調する方法については、それぞれ全体が参照により本明細書に組み入れられる米国特許出願である、2016年8月1日に出願された、「DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING(電気めっき中の直交流多岐管の動的変調)」と題する米国特許出願公開第15/225,716号明細書、および2016年5月20日に提出された、「DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING(電気めっき中の直交流多岐管の動的変調)」と題する米国特許出願公開第15/161,081号明細書でさらに論じられている。
さまざまな実施形態では、1つまたは複数の電解質ジェットを提供して、イオン抵抗性要素の上方に追加電解質を配送してよい。電解質ジェットは、基板の周囲の最も近くに、または基板の中心により近い場所に、または両方に電解質を配送してよい。電解質ジェットは、任意の位置に配向されてよく、直交流動電解質、衝突電解質、またはそれらの組合せを配送してよい。電解質ジェットについては、全体が参照により本明細書に組み入れられる、2017年3月9日に提出された、「ELECTROPLATING APPARATUS AND METHODS UTILIZING INDEPENDENT CONTROL OF IMPINGING ELECTROLYTE(衝突電解質の独立制御を利用する電気めっき装置および電気めっき方法)」と題する米国特許出願公開第15/455,011号明細書でさらに論じられている。
結論
前述の実施形態について、理解を明確にするためにいくらか詳細に記述してきたが、添付の特許請求の範囲内で一定の変更および修正を実施してよいことは明らかであろう。本明細書で開示する実施形態は、これらの特有の詳細の一部またはすべてなしに実施されてよい。他の実例では、開示する実施形態を不必要に不明瞭にしないために、周知の処理動作について詳細に記述しなかった。さらに、開示する実施形態について特有の実施形態と関連づけて記述するが、一方、特有の実施形態は、開示する実施形態に限定することを意図するものではないことを理解されよう。本実施形態の処理、ステム、および装置を実装する代替方法が多くあることに留意されたい。したがって、本実施形態は、例示的であり、かつ制限するものではないと考えるべきであり、実施形態は、本明細書で示す詳細に限定されるべきではない。

Claims (40)

  1. 金属を電気めっきする方法であって、
    金属のイオンを有する電気めっき溶液と基板を接触させるステップであって、前記基板は、前記基板の面上の少なくとも約0.9%の開放領域を提供する特徴を有するステップと、
    前記電気めっき溶液と接触している前記基板に電気充填電流波形を加えるステップであって、前記電気充填電流波形は、(i)約10秒から約200秒までの継続期間、ベースライン電流の大きさの少なくとも約2倍の大きさを有するパルス、および(ii)前記パルスに続く、平均で前記ベースライン電流の前記大きさを有する実質的に一定の電流段階を備えるステップと、
    前記金属で前記特徴の少なくとも一部分を充填するステップと
    を備える方法。
  2. 請求項1に記載の方法であって、前記電気充填電流波形の前記パルスは、前記基板に加えられる電流の前記大きさを増大させる初期段階変化、続いて、前記基板に加えられる前記電流の前記大きさを低減する傾斜部を備える、方法。
  3. 請求項2に記載の方法であって、前記傾斜部の継続期間は少なくとも10秒である、方法。
  4. 請求項2に記載の方法であって、前記傾斜部は、前記パルスの電流の前記大きさと前記ベースライン電流の前記大きさの間の直線的変化である、方法。
  5. 請求項1~4のいずれか一項に記載の方法であって、前記電気充填電流波形は、(iii)平均で前記ベースライン電流の前記大きさよりも大きな大きさを有する第2の実質的に一定の電流段階をさらに備える、方法。
  6. 請求項1~5のいずれか一項に記載の方法であって、前記電気充填電流波形は、(iv)平均で前記ベースライン電流の前記大きさよりも大きな大きさをそれぞれ有する1つまたは複数の追加の実質的に一定の電流段階をさらに備える、方法。
  7. 請求項1~6のいずれか一項に記載の方法であって、前記電気充填電流波形は、前記パルスに先行する誘導期をさらに備え、前記誘導期の間、前記基板に電流をまったく加えず、または前記基板に約30mA~200mAの間の平均的大きさを有する誘導期電流を加える、方法。
  8. 請求項1~7のいずれか一項に記載の方法であって、前記基板に加えるとき、前記ベースライン電流は、前記基板上で約0.1mA/cm2~10mA/cm2の間の電流密度を作り出す、方法。
  9. 請求項1~8のいずれか一項に記載の方法であって、前記基板は、少なくとも部分的に製作された集積回路を上に有する半導体ウエハである、方法。
  10. 請求項1~9のいずれか一項に記載の方法であっって、前記基板は300mm半導体ウエハである、方法。
  11. 請求項1~10のいずれか一項に記載の方法であって、前記基板上の前記特徴はシリコン貫通ビアである、方法。
  12. 請求項11に記載の方法であって、前記シリコン貫通ビアは、前記基板の面上に平均で少なくとも約0.1マイクロメートルの開口部幅または直径を有する、方法。
  13. 請求項11に記載の方法であって、前記シリコン貫通ビアは、平均で少なくとも約10マイクロメートルの深さを有する、方法。
  14. 請求項11に記載の方法であって、前記シリコン貫通ビアは、平均で約4以上のアスペクト比を有する、方法。
  15. 請求項1~14のいずれか一項に記載の方法であって、前記金属は銅である、方法。
  16. 請求項1~15のいずれか一項に記載の方法であって、前記電気めっき溶液は、第二銅イオンの供給源を備える、方法。
  17. 請求項1~15のいずれか一項に記載の方法であって、前記電気めっき溶液は、第一銅イオンの供給源を含有しない、方法。
  18. 請求項1~17のいずれか一項に記載の方法であって、前記電気めっき溶液は、約0~1のpHを有する、方法。
  19. 請求項1~18のいずれか一項に記載の方法であって、前記電気めっき溶液は、加速剤および抑制剤を備える、方法。
  20. 請求項19に記載の方法であって、前記加速剤はSPSである、方法。
  21. 請求項1~20のいずれか一項に記載の方法であって、前記パルスから前記ベースライン電流への前記電気充填電流波形の変化は、実質的に即時である、方法。
  22. 金属を電気めっきする方法であって、
    金属のイオンを有する電気めっき溶液と、特徴を有する基板を接触させるステップと、
    前記電気めっき溶液と接触している前記基板に電気充填電流波形を加えるステップであって、前記電気充填電流波形は、(i)約10秒から約200秒までの継続期間、ベースライン電流の大きさの少なくとも約2倍の大きさを有するパルス、および(ii)前記パルスに続く、平均で前記ベースライン電流の前記大きさを有する実質的に一定の電流段階を備えるステップと、
    前記金属で前記特徴の少なくとも一部分を充填するステップと
    を備える方法。
  23. 金属を電気めっきするための電気めっきシステムであって、
    陽極を包含するように、かつ金属のイオンを有する電気めっき溶液を含有するように構成された電気めっきセルと、
    前記電気めっきセルの内部で基板を支持するように構成されたウエハホルダと、
    1つまたは複数のコントローラであって、
    前記金属の前記イオンを有する前記電気めっき溶液と、特徴を有する前記基板を接触させ、
    (i)約10秒から約200秒までの継続期間、ベースライン電流の大きさの少なくとも約2倍の大きさを有するパルス、および(ii)前記パルスに続く、平均で前記ベースライン電流の前記大きさを有する実質的に一定の電流段階を備える電気充填電流波形を前記電気めっき溶液と接触している前記基板に加えさせ、
    前記金属で前記特徴の少なくとも一部分を充填させる
    ように構成された1つまたは複数のコントローラと、
    を備える電気めっきシステム。
  24. 請求項23に記載の電気めっきシステムであって、前記電気充填電流波形の前記パルスは、前記基板に加えられる電流の前記大きさを増大させる初期段階変化、続いて、前記基板に加えられる前記電流の前記大きさを低減させる傾斜部を備える、電気めっきシステム。
  25. 請求項24に記載の電気めっきシステムであって、前記傾斜部の継続期間は少なくとも10秒である、電気めっきシステム。
  26. 請求項24に記載の電気めっきシステムであって、前記傾斜部は、前記パルスの電流の前記大きさと前記ベースライン電流の前記大きさの間の直線的変化である、電気めっきシステム。
  27. 請求項23~26のいずれか一項に記載の電気めっきシステムであって、前記電気充填電流波形は、(iii)平均で前記ベースライン電流の前記大きさよりも大きな大きさを有する第2の実質的に一定の電流段階をさらに備える、電気めっきシステム。
  28. 請求項23~27のいずれか一項に記載の電気めっきシステムであって、前記電気充填電流波形は、(iv)平均で前記ベースライン電流の前記大きさよりも大きな大きさをそれぞれ有する1つまたは複数の追加の実質的に一定の電流段階をさらに備える、電気めっきシステム。
  29. 請求項23~28のいずれか一項に記載の電気めっきシステムであって、前記電気充填電流波形は、前記パルスに先行する誘導期をさらに備え、前記誘導期の間、前記基板に電流をまったく加えず、または前記基板に約30mA~200mAの間の平均的大きさを有する誘導期電流を加える、電気めっきシステム。
  30. 請求項23~29のいずれか一項に記載の電気めっきシステムであって、前記基板に加えるとき、前記ベースライン電流は、前記基板上で約0.1mA/cm2~10mA/cm2の間の電流密度を作り出す、電気めっきシステム。
  31. 請求項23~30のいずれか一項に記載の電気めっきシステムであって、前記基板は、少なくとも部分的に製作された集積回路を上に有する半導体ウエハである、電気めっきシステム。
  32. 請求項23~31のいずれか一項に記載の電気めっきシステムであって、前記基板上の前記特徴はシリコン貫通ビアである、電気めっきシステム。
  33. 請求項32に記載の電気めっきシステムであって、前記シリコン貫通ビアは、前記基板の面上に平均で少なくとも約0.1マイクロメートルの開口部幅または直径を有する、電気めっきシステム。
  34. 請求項32に記載の電気めっきシステムであって、前記シリコン貫通ビアは、平均で少なくとも約10マイクロメートルの深さを有する、電気めっきシステム。
  35. 請求項32に記載の電気めっきシステムであって、前記シリコン貫通ビアは、平均で約4以上のアスペクト比を有する、電気めっきシステム。
  36. 請求項23~35のいずれか一項に記載の電気めっきシステムであって、前記金属は銅である、電気めっきシステム。
  37. 請求項23~36のいずれか一項に記載の電気めっきシステムであって、前記電気めっき溶液は、第二銅イオンの供給源を備える、電気めっきシステム。
  38. 請求項23~36のいずれか一項に記載の電気めっきシステムであって、前記電気めっき溶液は、第一銅イオンの供給源を含有しない、電気めっきシステム。
  39. 請求項23~38のいずれか一項に記載の電気めっきシステムであって、前記電気めっき溶液は、約0~1のpHを有する、電気めっきシステム。
  40. 請求項23~39のいずれか一項に記載の電気めっきシステムであって、前記パルスから前記ベースライン電流への前記電気充填電流波形の変化は、実質的に即時である、電気めっきシステム。
JP2022542109A 2020-01-10 2021-01-08 Tsv処理窓ならびに長いパルス出力および傾斜部形成による充填性能強化 Pending JP2023510776A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062959817P 2020-01-10 2020-01-10
US62/959,817 2020-01-10
PCT/US2021/012822 WO2021142357A1 (en) 2020-01-10 2021-01-08 Tsv process window and fill performance enhancement by long pulsing and ramping

Publications (1)

Publication Number Publication Date
JP2023510776A true JP2023510776A (ja) 2023-03-15

Family

ID=76788300

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022542109A Pending JP2023510776A (ja) 2020-01-10 2021-01-08 Tsv処理窓ならびに長いパルス出力および傾斜部形成による充填性能強化

Country Status (5)

Country Link
US (1) US20230026818A1 (ja)
JP (1) JP2023510776A (ja)
KR (1) KR20220124787A (ja)
CN (1) CN114930502A (ja)
WO (1) WO2021142357A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116682785B (zh) * 2023-08-03 2023-12-29 上海电子信息职业技术学院 一种采用葡萄糖实现tsv完全填充方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878259B2 (en) * 1998-10-14 2005-04-12 Faraday Technology Marketing Group, Llc Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
KR101274363B1 (ko) * 2009-05-27 2013-06-13 노벨러스 시스템즈, 인코포레이티드 얇은 시드층 상의 도금을 위한 펄스 시퀀스
TWI523976B (zh) * 2010-05-19 2016-03-01 諾菲勒斯系統公司 利用具有雙態抑制劑的電解液之矽穿孔填充
US9689083B2 (en) * 2013-06-14 2017-06-27 Lam Research Corporation TSV bath evaluation using field versus feature contrast
KR101549166B1 (ko) * 2013-12-30 2015-09-02 서울시립대학교 산학협력단 Cu-W 도금액 및 이를 이용한 TSV의 충전 및 돌출 억제방법

Also Published As

Publication number Publication date
US20230026818A1 (en) 2023-01-26
WO2021142357A1 (en) 2021-07-15
CN114930502A (zh) 2022-08-19
KR20220124787A (ko) 2022-09-14

Similar Documents

Publication Publication Date Title
CN106245073B (zh) 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
KR20210054496A (ko) 충진 및 디펙트 제어를 위한 저 구리 전기도금 용액들
US20230212773A1 (en) Surface pretreatment for electroplating nanotwinned copper
KR20200024361A (ko) 쓰루 마스크 상호접속부 제조시 전기-산화 금속 제거
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
KR20200131909A (ko) 비-구리 라이너 층들 상의 구리 전기충진 (electrofill)
US20220275531A1 (en) Differential contrast plating for advanced packaging applications
US20160355939A1 (en) Polarization stabilizer additive for electroplating
JP2023510776A (ja) Tsv処理窓ならびに長いパルス出力および傾斜部形成による充填性能強化
TWI802603B (zh) 單一金屬之多浴電鍍
JP2023524809A (ja) コバルト、ニッケル、および、それらの合金の電気メッキ
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
JP2023522164A (ja) アルカリ電気めっき溶液からの電気充填

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231212