JP2019508889A - メモリフィンパターンを形成するための方法及びシステム - Google Patents

メモリフィンパターンを形成するための方法及びシステム Download PDF

Info

Publication number
JP2019508889A
JP2019508889A JP2018539435A JP2018539435A JP2019508889A JP 2019508889 A JP2019508889 A JP 2019508889A JP 2018539435 A JP2018539435 A JP 2018539435A JP 2018539435 A JP2018539435 A JP 2018539435A JP 2019508889 A JP2019508889 A JP 2019508889A
Authority
JP
Japan
Prior art keywords
layer
etching
forming
storage layer
multiline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018539435A
Other languages
English (en)
Other versions
JP6715415B2 (ja
Inventor
カン,ホヨン
デヴィリアーズ,アントン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019508889A publication Critical patent/JP2019508889A/ja
Application granted granted Critical
Publication of JP6715415B2 publication Critical patent/JP6715415B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本願明細書において開示される技術は、高解像度フィーチャを生成するためにフィーチャ密度を正確に増加させるため、また、サブ解像度フィーチャのピッチでカットするための方法及び製造構造を提供する。技術は、フィーチャを選択的にエッチングし、画定の所でカット又はブロックを生成するための、異なるエッチング特性を有する複数の材料を用いることを含む。マルチライン層は、異なるエッチング特性を提供する3つ以上の異なる材料から形成される。混交エッチングマスクを含むエッチングマスクは、選択され露光された材料内の選択的エッチングカットに用いられる。構造はその後カットされ形成されることができる。構造及びカットを形成することは、エッチングマスクにも使用されることができる記憶層に記憶されることができる。

Description

関連出願についてのクロス・リファレンス
本出願は、参照によりその全体が本明細書に組み込まれる、「メモリフィンパターンを形成するための方法及びシステム」と題する、2016年1月29日に出願された米国仮特許出願第62/288,846号の利益を主張する。
本開示は、基板処理及びより詳しくは半導体ウエハをパターン化することを含む基板をパターン化することの技術に関する。
リソグラフィプロセスにおけるライン幅を縮小する方法は、歴史的に、より大きなNA(開口数)光学、より短い露光波長、又は空気以外の界面媒体(例えば水浸漬)を使用することに関与してきた。従来のリソグラフィプロセスの解像度が理論上の限界に近づくにつれて、製造者は光学的限界を克服するためにダブルパターン化(DP)方法に目を向け始めている。
(フォトリソグラフィのような)材料処理方法において、パターン化された層を作成することは、フォトレジストのような放射線感受性材料の薄い層を基板の上部表面に塗布することを含む。この放射感応材料は、基板上の下地層にパターンを転写するためのエッチングマスクとして使用されうるレリーフパターンに変換される。放射線感受性材料のパターン化は、一般に、例えばフォトリソグラフィシステムを用いて、レチクル(及び関連する光学系)を介して、放射線感受性材料上に化学線を露光すること(exposure)を含む。この露光の後に、放射線感受性材料の照射領域(ポジティブフォトレジストの場合のように)又は非照射領域(ネガレジストの場合のように)を、現像溶媒を用いて除去することができる。
このマスク層は、複数のサブ層を含むことができる。
放射線又は光のパターンを基板上に露光するための従来のリソグラフィ技術は、露光されるフィーチャのサイズを制限し、露光されるフィーチャ間のピッチ又は間隔を制限する様々な課題を有する。露光限界を緩和する1つの従来技術は、従来のリソグラフィ技術で可能であったものよりも小さいピッチでより小さいフィーチャのパターン化を可能にするために、2重パターン化手法を使用する技術である。
半導体技術は、14ナノメートル、7nm、5nm及びそれ以下のフィーチャサイズを含む、より小さいサイズへと継続的に進歩している。この、種々の要素が製造されるフィーチャのサイズの継続的な低減は、フィーチャを形成するために用いられる技術に対してより大きな要求をもたらす。「ピッチ」の概念は、これらのフィーチャのサイジングを説明するために用いることができる。ピッチは、隣り合う2つの反復フィーチャにおける同一の2つの位置の間の距離である。その場合、ハーフピッチは、アレイの同一のフィーチャの間の距離の半分である。
ピッチ低減技術は、しばしば多少誤って、もはやごく普通に、例えば「ピッチ倍加(pitch doubling)」などのように「ピッチ増倍(pitch
multiplication)」と呼ばれ、フィーチャサイズの限界(光学解像度の限界)を超えてフォトリソグラフィの能力を拡張することができる。すなわち、従来のピッチの増加(より正確には、ピッチの低減又はピッチ密度の増加)は、ある特定の要因による目標ピッチの低減を含む。193nmの液浸リソグラフィで使用される2重パターン化技術は、22nm以下のノードをパターン化する最も有望な技術の1つとして従来考えられている。注目すべきは、ピッチ密度倍化プロセスとしてすでに自己整列ダブルパターン化(SADP)が確立されており、NAND型フラッシュメモリデバイスの大量生産に適応していることである。さらに、SADPステップを繰り返すことで超微細な分解能が得られ、ピッチの4倍化をもたらす。
パターン密度又はピッチ密度を上昇させるための、既存のいくつかのパターン化技術が存在するにもかかわらず、従来のパターン化技術は、低い分解能又はエッチングされたフィーチャの粗い表面という欠点がある。従って、従来の技術は、非常に小さい寸法(20nm以下)に対して所望されるレベルの均一性及び忠実度を提供することができない。確実なリソグラフィ技術は、約80nmのピッチを有するフィーチャを生成することができる。しかしながら、従来の及び新たな設計仕様は、約20nm又は10nm未満の限界寸法を有するフィーチャを製造することを要求する。さらに、ピッチ密度倍化及び4倍化技術では、サブ分解能ラインが生成されることができるが、これらのライン間の切断又は接続を行うことは困難である。特に、かかる切断に必要なピッチ及び寸法は、従来のフォトリソグラフィシステムの性能をはるかに下回るからである。
本明細書で開示される技法は、高分解能フィーチャを作成するためにピッチを低減する(ピッチ/フィーチャ密度を増加させる)ための方法、また、例えばメモリアレイ用の構造を生成する等のために、サブ分解能フィーチャのピッチを切断するための方法を提供する。本明細書における技術は、異なるエッチング抵抗率の材料のマルチライン層を形成することを含む。エッチングマスクの組み合わせは、まずカットを行うために用いられることができ、その後、フィン、ライン、セグメント又は他の構造の生成が続く。フォトマスクによって画定されるのに代えて、複数の異なる材料タイプによって画定されるカット及びフィンによって、従来のフィンを形成するための技術に比べてより良いプロセスマージンを有するフィンアレイ又は他の構造化アレイを生成するために、カットだけ(only−cuts)がフィンに自己整列化されることができる。
一実施形態は、例えばメモリアレイ用のフィンを製作するために、基板をパターン化する方法を含む。マルチライン層は基板上の記憶層の上側に形成される。マルチライン層は、相互に比較して異なるエッチング抵抗率を有することにより、化学的に相互に異なる3つの材料の交互ラインのパターンを備える領域を含む。3つの異なる材料は、材料A、材料B及び材料Cを含む。3つの材料の交互ラインのパターンは、基板の作業表面に平行な方向において材料が切り換えられる、A−B−C−B−A−B−C−Bの繰り返しシーケンスを含む。材料の各ラインはマルチライン層の頂部表面からマルチライン層の底部表面まで延在する。
第1エッチングマスクは、マルチライン層の上側に(above)形成される。第1エッチングマスクは、マルチライン層の第1部分を露出する第1トレンチを画定することにより、画定された第1トレンチが交互ラインのパターンのうちの複数のラインと、上方で交差する又は階層状に交差する(elevationally intersect)。材料Aの露出する部分と、材料Aの露出部分の直接下にある記憶層の部分とは、第1エッチングマスクを用いて貫通エッチング(etched through)される。第2エッチングマスクはマルチライン層の上側に形成される。第2エッチングマスクがマルチライン層の第2部分を露出する第2トレンチを画定することにより、画定された第2トレンチが交互ラインのパターンから複数のラインと上方で交差する。材料Cの露出する部分と、材料Cの露出部分の直接下にある記憶層の部分とは、第2エッチングマスクを用いて、貫通エッチングされる。マルチライン層が露出される間に、材料Bと、材料Bの直接下にある記憶層の部分は貫通エッチングされる。
したがって、フィンは、正確なフォトリソグラフィ・レジストレーションに依存しない、自己整列されたカットによって生成される。フォトリソグラフィのアラインメントに頼る代わりに、カット配置は、堆積された材料の厚さ及び異なるエッチング抵抗率に基づくことができる。
当然のことながら、本明細書に記載される異なるステップの説明の順序は、明瞭化の目的で提示されている。一般に、これらのステップは、任意の適切な順序で実行されることができる。さらに、本明細書における異なる特徴、技術、構成などの各々は、本開示の異なる箇所で論じることができるが、それぞれの概念を互いに独立して、又は互いに組み合わせて実行することが意図される。従って、本発明は多くの異なる方法で具体化され及び考察されることができる。
この概略セクションは、本開示又は請求項に記載された発明の全ての実施態様及び/又は逐次の新規な態様を特定するものではないことに留意されたい。その代わりに、この概要は、異なる実施形態の予備的説明、及び従来技術に対する新規性の対応する箇所を提供するのみである。本発明及び実施形態の付加的詳細及び/又は可能な展望のために、読者は、以下でさらに述べられるように、本開示の詳細な説明のセクション及び対応する図面へと導かれる。
本発明の様々な実施形態のより完全な理解及びそれらによる効果の多数は、添付の図面と併せて考慮される以下の詳細な説明を参照することによって容易に明らかになるであろう。図面は必ずしも縮尺通りではなく、その代わりに特徴、原理及び概念を説明することに重点が置かれている。
図1Aは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面を模式的に示す図である。 図1Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの平面を模式的に示す図である。 図2A及び図2Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図3Aは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面を模式的に示す図である。 図3Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの平面を模式的に示す図である。 図3Cは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの断面平面を模式的に示す図である。 図4A及び図4Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図5A及び図5Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図6A乃至図6Cは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面、平面及び断面平面を模式的に示す図である。 図7A及び図7Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図8A及び図8Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図9A及び図9Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図10Aは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面を模式的に示す図である。 図10Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの平面を模式的に示す図である。 図10Cは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの例示的斜視図を示す図である。 図11A及び図11Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図12A及び12Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図13A及び13Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図14A及び14Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図15A及び15Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図16A及び16Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図17A及び17Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図18A及び18Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図19A及び19Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。 図20A及び20Bは、本明細書において開示される実施形態によるプロセスフローを示す例示的基板セグメントの横断面及び平面を模式的に示す図である。
本願明細書において開示される技術は、高解像度フィーチャを生成するためにフィーチャ密度を正確に増加させるため、また、サブ解像度フィーチャのピッチでカットするための方法及び製造構造を提供する。技術は、フィーチャを選択的にエッチングし、所定の所でカット又はブロックを生成するために、異なるエッチング特性を有する複数の材料を用いることを含む。通常は、マルチライン層は、異なるエッチング特性を提供する3つ以上の異なる材料から形成される。その後、選択され、露出した材料内の選択的エッチングカットのために、混交エッチングマスクを含むエッチングマスクが用いられることができる。構造はその後カットされ形成されることができる。構造及びカットの成形は、記憶層に記録されることができ、(コンポーネントパターンが記録されるときに)下地層に構造を形成するためのエッチングマスクとして使用されることができる。
本明細書の技術で形成されることができる構造の種々のタイプがある。実施形態を説明する上での便宜のために、主に、メモリフィンパターン又はフィンアレイを形成することを説明することに焦点を当てる。本明細書の技術は、例えば、より良いプロセスマージンを有するDRAM(ダイナミックランダムアクセスメモリ)フィンパターンを作成することを可能にする。DRAMフィンパターン化において、ラインカットは、極めて困難である。例えば、フィーチャスケーリング又はサイズ縮小の進行に伴って、マンドレル及びラインを形成するために自己整列4重パターン化技術を使用することなどにより、かかるフィンパターンのためのラインがサブ分解能の寸法で形成される。従来のフィン製造フローでは、フィン又はラインが、ハードマスク層のような記憶層に形成される。このハードマスク層は、その後1つ以上の材料で平坦化され、その後その頂部にエッチングマスクがフォトリソグラフィパターン化技術によって形成される。カットのフォトマスクに露光されたフォトレジスト層を現像した後、結果として、フォトレジスト層は比較的小さな孔のアレイのように見える。これらの孔は、ハードマスク層内の埋設ラインを特定の位置において切断するために、パターンがいくつかの層を介して転写(エッチング)されるときに、これらのラインのカットを行うために、サブ分解能の形成されたラインにわたって直接整列するように(to align directly over sub-resolution formed lines)設計される。問題は、オーバレイ又はフォトリソグラフィのミスアライメントによって、隣り合う2つのラインの間にカットが位置づけられると(lands)と、ラインが部分的にしか又は全くカットされないことであり、デバイス故障に導くか又はデバイスの故障を引き起こす。サブ分解能カットのために、所望の位置の埋設ラインを切断するために異なる2つのカットマスクがあってもようい。
したがって、本明細書の実施形態は、基板をパターン化するために用いられることができる。これは、フィンアレイのようなサブ分解能パターンを転写するための複合エッチングマスクを作成することを含むことができる。ここで、図1A及び1Bを参照すると、マルチライン層150は、基板上の記憶層140の上側に形成される。下地層135は、記憶層140の下側に配置されることができる。この基板スタックは、製造を支援するための追加の中間層又は膜を含むことができることに留意されたい。図1Aは、例示的基板セグメントの側面の断面図を示し、図1Bは、対応する基板セグメントの上面図を示す。この図番のナンバリングは、文字「A」を有する図形番号が側面図を示し、文字「B」を有する図形番号が上面図を示すという点において、以降の図について繰り返されることに留意されたい。マルチライン層150は、相互に比較して異なるエッチング抵抗率を有することにより、化学的に相互に異なる3つの材料の交互ラインのパターンを備える領域を含む。3つの異なる材料は、材料A、材料B及び材料Cを含む。マルチライン層の1つ以上のラインは、自己整列2重パターン化又は自己整列4重パターン化又は異なるピッチ多重化技術によって形成されることができる。
3つの材料の交互ラインのパターンは、基板の作業表面に平行な方向において材料が切り換えられる、A−B−C−B−A−B−C−Bの繰り返しシーケンスを含む。換言すれば、3つの材料の交互ラインパターンは、基板の作業面が水平に配向されている仮定すると、基板を横切って水平に交互になる又は交代する。括弧151は、反復シーケンスの例を示す。一実施形態において、反復パターンの最も短いセグメントはA−B−C−Bであり、それはその場合繰り返す。図1A及び図1Bは、各ライン又は材料タイプの上側に文字A、B及びCを示す。材料の各ラインはマルチライン層の頂部表面からマルチライン層の底部表面まで延在する。換言すれば、材料の各ラインは、上からのエッチャントにアクセス可能であり、記憶層140及び介在膜にアクセスするために、マルチライン層150は完全に貫通してエッチングされることができる。
本明細書で使用されるように、互いに異なるエッチング抵抗率を有するとは、所与の1つの材料を他の材料よりも大きい速度(rate)でエッチングする少なくとも1つのエッチャント(又はエッチャントの組み合わせ)があることを意味する。2つ以上の所与の材料を同じ速度でエッチングする特定のエッチャントが存在し得るが、含有される材料を他の材料に対してより速くエッチングする少なくとも1つのエッチャントが存在することに留意されたい。1つの材料を別の材料に対してエッチングすることは、他方の材料を実質的にエッチングすることなく一方の材料をエッチングすることを含むか、又は、一方の材料を他方の材料を比較して実質的により大きな速度、例えば3:1、4:1、10:1などのエッチング速度比を有する速度でエッチングすることを含む。2つの材料について異なるエッチング抵抗率を有するために、これは、概して、例えば特定の原子要素を含むこと等によって、2つの材料が化学的に相互に異なることを意味する。2つの材料のうちの1つがドーパントを含むことを除いて、ほとんど同じ2つの材料は、それにもかかわらず、異なるエッチング抵抗率を有することができる。さらに、同じ原子要素を有するが、異なる分子又は結晶構造を有する材料は、同様に、エッチング抵抗率の差異を提供することができる。
ここで、図2A及び2Bを参照すると、第1のエッチングマスク161は、マルチライン層150の上側に形成される。第1エッチングマスクは、マルチライン層の第1部分を露出する第1トレンチを画定することにより、画定された第1トレンチが交互ラインのパターンのうちの複数のラインと上方で交差する。換言すれば、第1エッチングマスク161によって画定されたトレンチは、誘導エッチング(a directional etch)の観点から又は基板の作業表面に対する法線のように、交互ラインの下地パターンを横切る。図2Bにおいて、(画定されたトレンチ間に見られる)ラインセグメント及び反復パターンを見ることができる。ラインの交点又は交差は、垂直である必要はなく、鋭角/傾角でも交差することができることに留意されたい。説明の便宜上、第1エッチングマスク161はマルチライン層150上に直接配置された単一層として例示される。第1エッチングマスク161は従来のフォトリソグラフィ技術を介して形成されることができ、基板上に、まず平坦化層、その後反射防止コーティング、その後フォトレジストのような放射線感受性材料を堆積することと、その後露光し、可溶性の又は可溶性になる部分を現像することを含む。代替的実施形態において、ハードマスク層は、平坦化層の前にマルチライン層150上に堆積されることができ、又は、平坦化層上に堆積されることができる。マルチライン層150の上側、且つ第1エッチングマスク161の下側に堆積されたハードマスク層を有することは、いくつかのプロセスフローにおいて有利であり得る。
図3A及び図3Bを参照すると、第1エッチングマスク161を用いて、材料Aの露出部分を貫通してエッチングし、その後材料Aの露出部分の直接下にある、記憶層140の露出部分を貫通してエッチングするエッチング動作が行われる。ハードマスク層がマルチライン層150上に堆積されたとき、ハードマスク層は第1エッチマスク161に対応して貫通エッチングされる。図3Bにおいて、材料Aはトレンチの間でもはや視認することはできないが、下地層135の部分は今や視認可能である。より良い理解のために、図3Cは、もし上にある層が記憶層140から除去されたときに、プロセスのこの段階で、記憶層140がどのように見えるかの平面図を示す。
ここで図4A及び4Bを参照すると、マルチライン層150及び記憶層140のスペースは、その後、特定の材料で充填されることができる。例えば、材料Cは、開口を充填するために、基板上に堆積されることができる。図4Bに示されるように、かかる充填は、先ず、材料Cの過充填(overburden)をもたらし、その後、基板はマルチライン層150の頂部へ戻るように(back
to)平坦化されることができる。かかる充填の前に、第1エッチングマスク161、及び付随する層は除去されることができる。ハードマスク層がマルチライン層150の頂部上に組み込まれる場合、このハードマスク層は、基板上に残ることができる。また、マルチライン層150の頂部表面へ戻る平坦化が必要でないことに留意されたい。その代わりに、1つの選択肢は、後続のエッチングマスクを堆積するための平坦化層として材料C(又は他の材料)の充填層を使用することである。
ここで、図5A及び5Bを参照すると、第2エッチングマスク162は、マルチライン層150の上側に形成される。第2エッチングマスクはマルチライン層の第2部分を露出する第2トレンチを画定することにより、画定された第2トレンチが交互ラインのパターンのうちの複数のラインと上方で交差する。図5Bにおいて、画定された第2トレンチ間で、ラインセグメント及び反復パターンを見ることができる。第2のエッチマスク162は、基板上にまず平坦化層を、その後反射防止コーティングを、その後フォトレジスト層を堆積することを含む、従来のフォトリソグラフィ技術を介して形成されることができる。第2エッチングマスク162は、トレンチ位置が、第1エッチングマスク161のトレンチ位置の間に配置されるようにシフトされていることを除いて、第1エッチングマスク161と類似することに留意されたい。
ここで図6A及び図6Bを参照すると、第2エッチングマスク162を用いて、材料Cの露出部分を貫通してエッチングし、その後、材料Cの露出部分の直接下にある、記憶層140の露出部分を貫通してエッチングする、エッチング動作が行われる。ハードマスク層がマルチライン層150の上側で用いられる場合、ハードマスク層は第2エッチングマスク162に対応するように貫通エッチングされる。図6Bにおいて、材料Cはトレンチの間でもはや視認することはできないが、下地層135の部分は今や視認可能である。より良い視覚化のために、図6Cは、もし上にある層が記憶層140から除去されたときに、プロセスのこの段階で、記憶層140がどのように見えるかの平面図を示す。
ここで、第2エッチングマスク162(及び関連する層)は、除去されることができる。図7A及び図7Bを参照すると、マルチライン層150及び記憶層140内のスペースは、その後、特定の材料で充填されることができる。例えば、材料Cは、これらの開口を充填するために、基板上に堆積されることができる。かかる充填は、先ず、材料Cの過充填をもたらす。図7Bに示すように、基板がマルチライン層150の頂部へ戻るように平坦化されるにつれて、この過充填は取り除かれることができる。ハードマスク層がマルチライン層150の頂部上に任意に組み込まれる場合、このハードマスク層は、スペースを充填してマルチライン層150の頂部表面まで下がる(down to)平坦化の前又は後に、取り除かれることができ、従って、全ての材料B(材料Bのライン)は、露出される。
ここで図8A及び図8Bを参照すると、材料Bの露出部分を貫通してエッチングし、その後、材料Bの露出部分の直接下にある、記憶層140の露出部分を貫通してエッチングする、エッチング動作が行われる。材料Bのエッチングのために別個のエッチングマスクは必要とされないことに留意されたい。その代り、材料A及びC(及びスペースを充填するために用いられる材料)が、材料Bと比較して、特定のエッチャントに対する異なるエッチング抵抗率を有するエッチングマスクとして機能する。図8Bにおいて、材料Bはもはや視認されず、トレンチは記憶層140内へとエッチングされており、従って、下地層135の部分は今や視認される。
図9A及び9Bを参照すると、材料A、材料B及び材料Cを貫通するエッチングに基づくエッチング転写が完了した後、記憶層の上側の残余の材料が除去されることができる。記憶層は平行なラインセグメントのアレイを有するレリーフパターンをもたらす。いくつかの実施形態において、ラインセグメントのアレイの平行なラインセグメントは40ナノメートル未満のピッチを有する。例えば、材料Bの隣り合うライン間のピッチは、40ナノメートル未満であり、材料A及び材料Cの隣り合うライン間のピッチは、40ナノメートル未満である。図10A及び10Bに示すように、(窒化チタンのようなハードマスク材料からなることができる)記憶層140は、その後フィンのアレイを生成するために、下地層135内にラインセグメントのパターンを転写するためのエッチングマスクとして用いられることができる。図10Cは、未だ基板上にある記憶層140を有する製造されたラインセグメントを示す基板セグメントの斜視図である。追加のプロセスは、記憶層140を除去すること、及び/又は、さらにブロッキングし、カットし、ドーピングすることなどを含む。
本実施形態において、カットは、切断されるべきラインを生成する前に生成される。例えば、材料Bを貫通してエッチングするステップは、材料Aを貫通してエッチングするステップ及び材料Cを貫通してエッチングするステップの後に発生する。したがって、記憶層140からのカット(除去材料)は、切断されるべき記憶層140内にラインが生成される前に生成されることができる。他の実施形態においては、しかしながら、材料Bを貫通してエッチングするステップは、材料Aを貫通してエッチングするステップ及び材料Cを貫通してエッチングするステップの前に発生する。
図11乃至図20は、マルチライン層を横切るエッチングマスクを形成するために2重層マンドレルを用いる他の例示的プロセスフローを図示する。図11A及び図11Bは図1A及び図1Bに類似し、マルチライン層150上側のマスキング層の横断面をよりよく示すために基板セグメントが90度回転されているという差異を有する。
ここで図12A及び12Bを参照すると、第1エッチングマスク161を形成することは、上部材料166及び下部材料167を有する2重層マンドレル165を形成することを含む。上部材料166は下部材料167とは異なるエッチング抵抗率を有する。側壁スペーサ171は、2重層マンドレル171上に形成される。図12Aは形成された状態の側壁スペーサ171を示すが、形成は、2重層マンドレルをおおって(over)コンフォーマル膜を堆積し、その後、側壁スペーサ171間のマルチライン層150上及び2重層マンドレル上からコンフォーマル材料を除去するために、スペーサオープンエッチングを行うことを含むことができる。第1エッチングマスク161は、隣り合う側壁スペーサの露出した側壁間のトレンチを画定する複数の2重層マンドレル165及び側壁スペーサ171を含む。
図13A及び13Bは、図3A及び3Bと類似している。第1エッチングマスク161を用いて、材料Cの露出部分を貫通してエッチングし、その後、材料Cの露出部分の直接下にある記憶層140の露出部分を貫通してエッチングする、エッチング動作が行われる。このエッチング動作の後、充填層168は、図14A及び14Bに示すように基板上に堆積される。これは、第1エッチングマスクの隣り合う側壁スペーサの間に画定されたトレンチを充填することを含む。充填層168は、上部材料166と同一材料であることができる。その後、基板は、2重層マンドレル165の下部材料167の上部表面まで下がって平坦化される。したがって、2重層マンドレル165の下部部分は、化学的機械研磨などの平坦化停止材料として機能することができる。そのような平坦化ステップの結果は、図15A及び15Bに示される。
図16Aに示すように、第2エッチングマスクを生じさせるために、2重層マンドレル165の下部材料167が露出した状態で、2重層マンドレル165を完全に除去することができる。第2エッチングマスクはマルチライン層の第2部分を露出する第2トレンチを画定することにより、画定された第2トレンチが交互ラインのパターンのうちの複数のラインと上方で交差する。第2エッチングマスク162を用いて、材料Aの露出部分を貫通してエッチングし、その後材料Aの露出部分の直接下にある、記憶層140の露出部分を貫通してエッチングするエッチング動作が行われる。かかるエッチング転写の結果は、図16Bの上面図から下地層135が視認可能であるように、図16A及び図16Bにおいて示される。
第2エッチングマスク162(及び関連する膜)は、除去されることができる。ここで図17A及び17Bを参照すると、マルチライン層150及び記憶層140のスペースは、特定の材料で充填されることができる。例えば、材料Cは、開口を充填するために、基板上に堆積されることができる。図17Bに示すように、かかる充填は、先ず、材料Cの過充填をもたらし、その後、基板はマルチライン層150の頂部へ戻るように平坦化されることができる。ハードマスク層がマルチライン層150の頂部上に組み込まれる場合、このハードマスク層は、スペースを充填してマルチライン層150の頂部表面まで下がる平坦化の前又は後に、取り除かれることができ、従って、全ての材料B(材料Bのライン)は、露出される。
図18A及び18Bを次に参照すると、材料Bの露出部分を貫通してエッチングし、その後、材料Bの露出部分の直接下にある記憶層140の露出部分を貫通してエッチングする、エッチング動作が行われる。材料Bのエッチングのために別個のエッチングマスクは必要とされないことに留意されたい。その代り、材料A及びC及び充填材料が、材料Bと比較して、特定のエッチャントに対する異なるエッチング抵抗率を有するエッチングマスクとして機能する。図18Bにおいて、材料Bはもはや視認されず、トレンチは記憶層140内にエッチングされており、ここで、下地層135の部分が視認される。
図19A及び19Bを次に参照すると、記憶層の上側の残余の材料は、材料A、材料B及び材料Cを貫通するエッチングに基づくエッチング転写が完了した後、除去されることができ、記憶層は平行なラインセグメントのアレイを有するレリーフパターンをもたらす。図20A及び20Bに示すように、(ハードマスク材料に含まれ又はハードマスク材料である)記憶層140は、フィンのアレイを生成するために、下地層135内にラインセグメントのパターンを転写するためのエッチングマスクとして用いられることができる。追加のプロセスは、記憶層140、さらにブロッキング、カット、ド−ピングなどを取り除くことを含むことができる。
マルチライン層150は、種々の技術で形成されることができる。1つの技術は、図15Aの第2のエッチングマスク162が形成される方法と、類似している。例えば、マルチライン層を形成することは、材料Aを用いてマンドレルを形成すること含むことができる。マンドレルは、自己整列4重パターン化又は他のピッチ増倍パターン化の結果であることができる。側壁スペーサはその後、材料Bを用いてマンドレルの側壁上に、形成される。充填構造は、材料Cを用いて形成され、隣り合うスペーサ間のトレンチを充填する充填構造を有する。異なる材料のラインの交互パターンは、他の材料に対するエッチングのために各材料が選択的にアクセスされることができる。
他の実施形態では、マスクをパターン化する方法は、基板上の記憶層の上側にマルチライン層を形成することを含む。マルチライン層は、相互に比較して異なるエッチング抵抗率を有することにより、化学的に相互に異なる3つの材料の交互ラインのパターンを備える領域を含む。全てのマルチライン層が交互ラインのパターンを有する必要はないが、少なくとも一部は有することに留意されたい。交互ラインはマンドレル、側壁、スペーサ及び充填構造を備える。3つの材料の交互ラインのパターンは、交互になったマンドレルのラインと充填構造のラインとを含み、マンドレルのラインと充填構造のラインとの間に配置された側壁スペーサを有し、マルチライン層の頂部表面からマルチライン層の底部表面まで延在する材料の各ラインを有する。
第1エッチングマスクは、マルチライン層の上側に形成される。第1エッチングマスクがマルチライン層の第1部分を露出する第1トレンチを画定することにより、画定された第1トレンチが交互ラインのパターンのうちの複数のラインと上方で交差する。第1エッチングマスクを用いて、マンドレルの露出部分及びマンドレルの露出部分の直接下にある、記憶層の部分を貫通してエッチングするエッチング動作が行われる。
第2エッチングマスクはマルチライン層の上側に形成される。第2エッチングマスクはマルチライン層の第2部分を露出する第2トレンチを画定することにより、画定された第2トレンチが交互ラインのパターンのうちの複数のラインと上方で交差する。第2エッチングマスクを用いて、充填構造の露出部分及び充填構造の露出部分の直接下にある、記憶層の部分を貫通してエッチングする他のエッチング動作が行われる。マルチライン層はその後露出され、側壁スペーサ及び側壁スペーサの直接下にある記憶層の部分は、このパターンを記憶層に転写するために、エッチング除去され、従って、記憶層内に自己整列するラインセグメントのアレイが生成される。
前述の説明では、プロセスシステムの特定の幾何学的形状、及びそこで使用される様々なコンポーネント及びプロセスの説明などの特定の詳細が示されている。しかしながら、本明細書における技術は、これらの特定の詳細から逸脱する他の実施形態において実施されてもよく、そのような詳細は、説明の目的であって限定ではないことを理解されたい。明細書に開示された実施形態は、添付の図面を参照して説明されている。同様に、説明のために、本発明の完全な理解を提供するために、特定の数、材料、及び構成が示されている。にもかかわらず、そのような具体的な詳細なしに実施形態を実施することができる。なお、実質的に同一の機能構成を有するコンポーネントについては、同様の符号によって示され、従って説明を省略されることができる。
様々な技術を、様々な実施形態の理解を助けるための複数の離散的な動作として説明した。説明の順序は、これらの操作が必然的に順序に依存することを意味すると解釈されるべきではない。実際に、これらの操作は、提示順に実行される必要はない。説明された動作は、記載された実施形態とは異なる順序で実行されてもよい。様々な追加の動作が実行されてもよく、及び/又は記載された動作が追加の実施形態で省略されてもよい。
本明細書で使用する「基板」又は「ターゲット基板」は、一般に、本発明に従ってプロセスされる物体を指す。基板は、デバイス、特に半導体又は他のエレクトロニクスデバイスの、いかなる材料部分又は構造をも含むことができ、例えば、半導体ウエハ、レチクルのようなベース基板構造であるか、又は、ベース基板構造上の若しくはベース基板構造を覆う薄膜のような層でありうる。したがって、基板は、パターン化された又はパターン化されていない、任意の特定のベース構造、下地層又はオーバレイ層に限定されず、むしろ任意のそのような層又はベース構造、及び、層及び/又はベース構造の任意の組み合わせを含むことが意図される。説明では、特定のタイプの基板を参照することができるが、説明の目的のみである。
当業者であれば、本発明の同じ目的を依然として達成しつつ、上述した技術の動作に多くの変形を加えることができることを理解するであろう。そのような変形は、本開示の範囲によって網羅されることが意図される。このように、本発明の実施形態の前述の説明は、限定を意図するものではない。むしろ、本発明の実施形態に対する制限は、添付の特許請求の範囲に示されている。

Claims (19)

  1. 基板をパターン化する方法であって、当該方法は、
    基板上の記憶層の上側にマルチライン層を形成するステップであって、前記マルチライン層は、相互に比較して異なるエッチング抵抗率を有することにより化学的に相互に異なる3つの材料の交互ラインのパターンを備える領域を含み、前記3つの材料は、材料A、材料B及び材料Cを含み、前記3つの材料の交互ラインのパターンは、材料が前記基板の作業表面に平行な方向において切り換えられるA−B−C−B−A−B−C−Bの繰り返しシーケンスを含み、各材料のラインは前記マルチライン層の頂部表面から前記マルチライン層の底部表面まで延在する、ステップと、
    前記マルチライン層の上側に第1エッチングマスクを形成するステップであって、前記第1エッチングマスクが前記マルチライン層の第1部分を露出する第1トレンチを画定することにより、画定された第1トレンチが前記交互ラインのパターンのうちの複数のラインと上方で交差する、ステップと、
    前記第1エッチングマスクを用いて、前記材料Aの露出部分と前記材料Aの露出部分の直接下にある前記記憶層の部分とを貫通してエッチングするステップと、
    前記マルチライン層の上側に第2エッチングマスクを形成するステップであって、前記第2エッチングマスクが前記マルチライン層の第2部分を露出する第2トレンチを画定することにより、画定された第2トレンチが前記交互ラインのパターンのうちの複数のラインと上方で交差する、ステップと、
    前記第2エッチングマスクを用いて、前記材料Cの露出部分と前記材料Cの露出部分の直接下にある前記記憶層の部分とを貫通してエッチングするステップと、
    前記マルチライン層が露出される間に、前記材料Bと前記材料Bの直接下にある前記記憶層の部分を貫通してエッチングするステップと、
    を含む、方法。
  2. 前記材料A、前記材料B及び前記材料Cを貫通するエッチングに基づくエッチング転写が完了した後、前記記憶層の上側の残余の材料を除去するステップであって、前記記憶層は平行なラインセグメントのアレイを有するレリーフパターンをもたらす、ステップ、
    をさらに含む、請求項1記載の方法。
  3. 前記アレイの平行なラインセグメントは40ナノメートル未満のピッチを有する、
    請求項2記載の方法。
  4. 前記記憶層内でラインを形成する前に、前記記憶層内でラインカットを形成し、前記記憶層はハードマスク材料を含む、
    請求項2記載の方法。
  5. 前記マルチライン層の1つ以上のラインは、自己整列2重パターン化又は自己整列4重パターン化によって形成される、
    請求項2記載の方法。
  6. 前記平行なラインセグメントのアレイを有する前記レリーフパターンを下地層に転写するステップであって、前記下地層にフィンのアレイが形成される、ステップ、
    をさらに含む、請求項2記載の方法。
  7. 前記材料Bを貫通してエッチングするステップは、前記材料Aを貫通してエッチングするステップ及び前記材料Cを貫通してエッチングするステップの後に発生する、
    請求項1記載の方法。
  8. 材料Bを貫通してエッチングするステップは、材料Aを貫通してエッチングするステップ及び材料Cを貫通してエッチングするステップの前に発生する、
    請求項1記載の方法。
  9. 前記第1エッチングマスクを形成し前記第2エッチングマスクを形成する前に、前記マルチライン層の上側にハードマスク層を形成するステップと、
    前記第1エッチングマスク及び前記第2エッチングマスクを用いて、前記ハードマスク層の対応する部分を貫通してエッチングするステップと、
    をさらに含む、請求項1記載の方法。
  10. 前記第1エッチングマスクを形成するステップは、
    前記基板上に放射線感受性材料を堆積するステップと、
    前記放射線感受性材料をフォトリソグラフィ露光後に現像するステップと、を含む、
    請求項1記載の方法。
  11. 前記第2エッチングマスクを形成するステップは、
    前記基板上に第2放射線感受性材料を堆積するステップと、
    前記第2放射線感受性材料をフォトリソグラフィ露光後に現像するステップと、を含み、
    前記方法は、
    前記第2放射線感受性材料を堆積するステップの前、且つ前記第1エッチングマスクを用いて、前記材料Aの露出部分と前記材料Aの露出部分の直接下にある前記記憶層の部分とを貫通してエッチングするステップの後に、前記記憶層及び前記マルチライン層内の開口を前記材料Cで充填するステップ、
    をさらに含む、請求項10記載の方法。
  12. 材料B及び材料Bの直接下の前記記憶層の部分を貫通してエッチングするステップの前に、前記記憶層及び前記マルチライン層内の開口を充填するステップ、
    をさらに含む、請求項11記載の方法。
  13. 前記第1エッチングマスクを形成するステップは、
    上部材料及び下部材料を有する2重層マンドレルを形成するステップであって、前記上部材料は前記下部材料とは異なるエッチング抵抗率を有する、ステップと、
    前記2重層マンドレル上に側壁スペーサを形成するステップであって、前記2重層マンドレルのアレイ及び前記側壁スペーサは、隣り合う前記側壁スペーサの露出した側壁間でトレンチを画定する、ステップと、を含む、
    請求項1記載の方法。
  14. 前記第2エッチングマスクを形成するステップは、
    前記第1エッチングマスクの隣り合う前記側壁スペーサの間で画定されるトレンチを充填するステップと、
    前記2重層マンドレルの前記上部材料を、前記下部材料が露出するように除去するステップと、
    前記2重層マンドレルの前記下部材料を除去するステップと、を含む、
    請求項13記載の方法。
  15. 前記記憶層の上側に前記マルチライン層を形成するステップは、
    前記材料Aを用いてマンドレルを形成するステップと、
    前記材料Bを用いてマンドレルの側壁上に側壁スペーサを形成するステップと、
    前記材料Cを用いて充填構造を形成するステップであって、前記充填構造は隣り合うスペーサ間のトレンチを充填する、ステップと、を含む、
    請求項1記載の方法。
  16. 前記材料Bのライン間の第1ピッチは、40ナノメートル未満であり、
    前記マンドレルと前記充填構造との間の第2ピッチは40ナノメートル未満である、
    請求項15記載の方法。
  17. 前記材料Aは、マンドレル材料であり、
    前記材料Bは、スペーサ材料であり、
    前記材料Cは、充填材料である、
    請求項1記載の方法。
  18. 基板をパターン化する方法であって、当該方法は、
    基板上の記憶層の上側にマルチライン層を形成するステップであって、前記マルチライン層は相互に比較して異なるエッチング抵抗率を有することにより化学的に相互に異なる3つの材料の交互ラインのパターンを備える領域を含み、前記交互ラインはマンドレル、側壁スペーサ及び充填構造を備え、前記3つの材料の交互ラインのパターンは、交互的な前記マンドレルのラインと前記充填構造のラインとを備え、前記マンドレルのラインと前記充填構造のラインとの間に位置する前記側壁スペーサを有し、各材料のラインは前記マルチライン層の頂部表面から前記マルチライン層の底部表面まで延在する、ステップと、
    前記マルチライン層の上側に第1エッチングマスクを形成するステップであって、前記第1エッチングマスクが前記マルチライン層の第1部分を露出する第1トレンチを画定することにより、画定された第1トレンチが前記交互ラインのパターンのうちの複数のラインと上方で交差する、ステップと、
    第1エッチングマスクを用いて、前記マンドレルの露出部分と前記マンドレルの露出部分の直接下にある前記記憶層の部分とを貫通してエッチングするステップと、
    前記マルチライン層の上側に第2エッチングマスクを形成するステップであって、前記第2エッチングマスクが前記マルチライン層の第2部分を露出する第2トレンチを画定することにより、画定された第2トレンチが前記交互ラインのパターンのうちの複数のラインと上方で交差する、ステップと、
    前記第2エッチングマスクを用いて、前記充填構造の露出部分と前記充填構造の前記露出部分の直接下にある前記記憶層の部分とを貫通してエッチングするステップと、
    前記側壁スペーサと前記側壁スペーサの直接下にある前記記憶層の部分とを、露出する前記マルチライン層と共に貫通してエッチングするステップと、
    を含む方法。
  19. 前記マンドレル、前記充填構造、及び前記側壁スペーサを貫通するエッチングに基づくエッチング転写が完了した後、前記記憶層の上側の残余の材料を除去するステップであって、前記記憶層は平行なラインセグメントのアレイを有するレリーフパターンである、ステップと、
    前記平行なラインセグメントのアレイを有する前記レリーフパターンを下地層に転写するステップであって、前記下地層にフィンのアレイが形成される、ステップと、
    をさらに含む、請求項18記載の方法。
JP2018539435A 2016-01-29 2017-01-26 メモリフィンパターンを形成するための方法及びシステム Active JP6715415B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662288846P 2016-01-29 2016-01-29
US62/288,846 2016-01-29
PCT/US2017/015136 WO2017132381A1 (en) 2016-01-29 2017-01-26 Method and system for forming memory fin patterns

Publications (2)

Publication Number Publication Date
JP2019508889A true JP2019508889A (ja) 2019-03-28
JP6715415B2 JP6715415B2 (ja) 2020-07-01

Family

ID=59387112

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018539435A Active JP6715415B2 (ja) 2016-01-29 2017-01-26 メモリフィンパターンを形成するための方法及びシステム

Country Status (7)

Country Link
US (2) US10115726B2 (ja)
JP (1) JP6715415B2 (ja)
KR (1) KR102207120B1 (ja)
CN (1) CN108701588B (ja)
SG (1) SG11201806451VA (ja)
TW (1) TWI633583B (ja)
WO (1) WO2017132381A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019195044A (ja) * 2018-02-14 2019-11-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated マスクをエッチングし、フィン構造を形成するための方法
US11862430B2 (en) 2020-08-12 2024-01-02 Kioxia Corporation Pattern formation method and template manufacturing method

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US10388644B2 (en) * 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
CN109411337A (zh) * 2017-08-16 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
EP3618103A1 (en) * 2018-08-30 2020-03-04 IMEC vzw A patterning method
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
US10903082B2 (en) 2018-09-21 2021-01-26 Varian Semiconductor Equipment Associates, Inc. Spacer sculpting for forming semiconductor devices
US11024511B1 (en) 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008546186A (ja) * 2005-05-23 2008-12-18 マイクロン テクノロジー, インク. 小さく、スペースの狭い構成物の配列を形成する方法
JP2009509344A (ja) * 2005-09-19 2009-03-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 高密度のシェブロンfinFET及びそれを製造する方法
JP2009135400A (ja) * 2007-11-29 2009-06-18 Hynix Semiconductor Inc 半導体素子のハードマスクパターン及びその形成方法
US20150243518A1 (en) * 2014-02-23 2015-08-27 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
US7914971B2 (en) * 2005-08-12 2011-03-29 Semiconductor Energy Laboratory Co., Ltd. Light exposure mask and method for manufacturing semiconductor device using the same
JP4566862B2 (ja) * 2005-08-25 2010-10-20 富士通株式会社 レジストパターン厚肉化材料、レジストパターンの形成方法、半導体装置及びその製造方法
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101532012B1 (ko) 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US9028123B2 (en) * 2010-04-16 2015-05-12 Flex Lighting Ii, Llc Display illumination device with a film-based lightguide having stacked incident surfaces
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20130042352A (ko) * 2011-10-18 2013-04-26 에스케이하이닉스 주식회사 비휘발성 메모리 장치의 제조 방법
US8647981B1 (en) 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US9287131B2 (en) * 2014-02-21 2016-03-15 Globalfoundries Inc. Methods of patterning line-type features using a multiple patterning process that enables the use of tighter contact enclosure spacing rules
WO2016022518A1 (en) * 2014-08-08 2016-02-11 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
CN108352304B (zh) * 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008546186A (ja) * 2005-05-23 2008-12-18 マイクロン テクノロジー, インク. 小さく、スペースの狭い構成物の配列を形成する方法
JP2009509344A (ja) * 2005-09-19 2009-03-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 高密度のシェブロンfinFET及びそれを製造する方法
JP2009135400A (ja) * 2007-11-29 2009-06-18 Hynix Semiconductor Inc 半導体素子のハードマスクパターン及びその形成方法
US20150243518A1 (en) * 2014-02-23 2015-08-27 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019195044A (ja) * 2018-02-14 2019-11-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated マスクをエッチングし、フィン構造を形成するための方法
US11862430B2 (en) 2020-08-12 2024-01-02 Kioxia Corporation Pattern formation method and template manufacturing method

Also Published As

Publication number Publication date
TW201737309A (zh) 2017-10-16
TWI633583B (zh) 2018-08-21
US20190027481A1 (en) 2019-01-24
JP6715415B2 (ja) 2020-07-01
WO2017132381A1 (en) 2017-08-03
CN108701588B (zh) 2023-03-14
CN108701588A (zh) 2018-10-23
SG11201806451VA (en) 2018-08-30
KR20180100699A (ko) 2018-09-11
US10115726B2 (en) 2018-10-30
US20170221902A1 (en) 2017-08-03
KR102207120B1 (ko) 2021-01-22

Similar Documents

Publication Publication Date Title
JP6715415B2 (ja) メモリフィンパターンを形成するための方法及びシステム
JP6726834B2 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
TWI625764B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
US10366890B2 (en) Method for patterning a substrate using a layer with multiple materials
CN109075124B (zh) 使用具有多种材料的层对基底进行图案化的方法
US10083842B2 (en) Methods of sub-resolution substrate patterning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200317

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200415

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200415

R150 Certificate of patent or registration of utility model

Ref document number: 6715415

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250