JP2019102728A - ティーチング方法 - Google Patents

ティーチング方法 Download PDF

Info

Publication number
JP2019102728A
JP2019102728A JP2017234484A JP2017234484A JP2019102728A JP 2019102728 A JP2019102728 A JP 2019102728A JP 2017234484 A JP2017234484 A JP 2017234484A JP 2017234484 A JP2017234484 A JP 2017234484A JP 2019102728 A JP2019102728 A JP 2019102728A
Authority
JP
Japan
Prior art keywords
teaching method
wafer
substrate
stage
inspection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017234484A
Other languages
English (en)
Other versions
JP7097691B2 (ja
Inventor
貴光 望月
Takamitsu Mochizuki
貴光 望月
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017234484A priority Critical patent/JP7097691B2/ja
Priority to US16/209,801 priority patent/US11037810B2/en
Priority to KR1020180154994A priority patent/KR102239052B1/ko
Priority to CN201811488001.XA priority patent/CN109994404B/zh
Publication of JP2019102728A publication Critical patent/JP2019102728A/ja
Application granted granted Critical
Publication of JP7097691B2 publication Critical patent/JP7097691B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/42Recording and playback systems, i.e. in which the programme is recorded from a cycle of operations, e.g. the cycle of operations being manually controlled, after which this record is played back on the same machine
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/36Nc in input of data, input key till input tape
    • G05B2219/36414Compare image detected path with stored reference, difference corrects position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Numerical Control (AREA)
  • Manipulator (AREA)

Abstract

【課題】搬送機構と載置台との間で基板を受け渡す受け渡し位置を、載置台に加工を施すことなくティーチングすることが可能な搬送機構のティーチング方法を提供すること。【解決手段】一実施形態のティーチング方法は、載置台に基板を搬送する搬送機構のティーチング方法であって、外周縁部に複数の撮影手段を有する検査用基板を、前記搬送機構と前記載置台との間で前記基板を受け渡す受け渡し位置に搬送する搬送ステップと、前記受け渡し位置で前記複数の撮影手段により前記載置台の外周を含む一部分を撮影する撮影ステップと、前記複数の撮影手段により撮影された画像に基づいて、前記載置台の中心位置を算出する算出ステップと、前記算出ステップで算出された前記載置台の中心位置と、前記受け渡し位置での前記検査用基板の中心位置と、に基づいて、前記受け渡し位置を補正する補正ステップと、を有する。【選択図】図3

Description

本発明は、ティーチング方法に関する。
半導体デバイスを製造する際、複数のモジュールの間で基板の搬送を行う搬送機構を備える基板処理システムが用いられる。基板処理システムでは、搬送機構が各モジュール内へ基板を搬入し、各モジュール内に配置された載置台から突出するリフトピンへ基板を受け渡す。
このような基板処理システムでは、各モジュール内に精度よく基板を搬送するために、例えば作業者が検査用基板を用いて各モジュール内の基板載置位置等の搬送に必要な情報を搬送機構にティーチング(教示)する。検査用基板としては、カメラを搭載したワイヤレスの基板状センサが知られている(例えば、特許文献1参照)。
特許第4813765号公報
ところで、従来のティーチング方法では、ティーチング対象となる載置台に撮影用のパターン(ターゲット)を形成する等、載置台に加工が施される。しかしながら、基板に処理を行うプロセスモジュールに用いられる載置台では、処理結果に影響を及ぼす可能性があるため、載置台に加工を施すことが困難な場合がある。
そこで、本発明の一態様では、搬送機構と載置台との間で基板を受け渡す受け渡し位置を、載置台に加工を施すことなくティーチングすることが可能な搬送機構のティーチング方法を提供することを目的とする。
上記目的を達成するため、本発明の一態様に係るティーチング方法は、載置台に基板を搬送する搬送機構のティーチング方法であって、外周縁部に複数の撮影手段を有する検査用基板を、前記搬送機構と前記載置台との間で前記基板を受け渡す受け渡し位置に搬送する搬送ステップと、前記受け渡し位置で前記複数の撮影手段により前記載置台の外周を含む一部分を撮影する撮影ステップと、前記複数の撮影手段により撮影された画像に基づいて、前記載置台の中心位置を算出する算出ステップと、前記算出ステップで算出された前記載置台の中心位置と、前記受け渡し位置での前記検査用基板の中心位置と、に基づいて、前記受け渡し位置を補正する補正ステップと、を有する。
開示のティーチング方法によれば、搬送機構と載置台との間で基板を受け渡す受け渡し位置を、載置台に加工を施すことなくティーチングすることができる。
本発明の実施形態に係るティーチング方法が適用される基板処理システムの一例を示す概略図 検査用ウエハの一例を示す図 受け渡し位置でのステージと検査用ウエハとの間の位置関係を説明する図 撮影した画像からステージの中心位置を算出する方法を説明する図 検査用ウエハの別の例を示す図 撮影手段の取り付け位置を較正する方法を説明する図
以下、本発明を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
〔基板処理システム〕
本発明の実施形態に係るティーチング方法が適用される基板処理システムの一例として、枚葉で半導体ウエハ(以下「ウエハ」という。)にプラズマ処理等の各種処理を施すことが可能な基板処理システムについて説明する。
図1は、本発明の実施形態に係るティーチング方法が適用される基板処理システムの一例を示す概略図である。図1では、基板処理システムの各モジュールの天板等の図示を省略している。
図1に示されるように、基板処理システム1は、トランスファモジュール10と、6つのプロセスモジュール20と、ローダモジュール30と、2つのロードロックモジュール40と、を備える。
トランスファモジュール10は、平面視において略五角形状を有する。トランスファモジュール10は、真空室からなり、内部に搬送機構11が配置されている。搬送機構11は、ガイドレール(図示せず)と、2つのアーム12と、各アーム12の先端に配置されてウエハを支持するフォーク13と、を有する。各アーム12は、スカラアームタイプであり、旋回、伸縮自在に構成されている。搬送機構11は、ガイドレールに沿って移動し、プロセスモジュール20やロードロックモジュール40の間でウエハを搬送する。なお、搬送機構11は、プロセスモジュール20やロードロックモジュール40の間でウエハを搬送することが可能であればよく、図1に示される構成に限定されるものではない。例えば、搬送機構11の各アーム12は、旋回、伸縮自在に構成されると共に、昇降自在に構成されていてもよい。
プロセスモジュール20は、トランスファモジュール10の周りに放射状に配置されてトランスファモジュール10に接続されている。プロセスモジュール20は、処理室からなり、内部に配置された円柱状のステージ21を有する。ステージ21は、上面から突出自在な複数の細棒状の3つのリフトピン22を有する。各リフトピン22は平面視において同一円周上に配置され、ステージ21の上面から突出することによってステージ21に載置されたウエハを支持して持ち上げると共に、ステージ21内へ退出することによって支持するウエハをステージ21へ載置させる。プロセスモジュール20は、ステージ21にウエハが載置された後、内部を減圧して処理ガスを導入し、さらに内部に高周波電力を印加してプラズマを生成し、プラズマによってウエハにプラズマ処理を施す。トランスファモジュール10とプロセスモジュール20とは、開閉自在なゲートバルブ23で仕切られている。
ローダモジュール30は、トランスファモジュール10に対向して配置されている。ローダモジュール30は、直方体状であり、大気圧雰囲気に保持された大気搬送室である。ローダモジュール30の長手方向に沿った一の側面には、2つのロードロックモジュール40が接続されている。ローダモジュール30の長手方向に沿った他の側面には、3つのロードポート31が接続されている。ロードポート31には、複数のウエハを収容する容器であるFOUP(Front-Opening Unified Pod)(図示せず)が載置される。ローダモジュール30の短手方向に沿った一の側面には、アライナ32が接続されている。また、ローダモジュール30内には、搬送機構35が配置されている。
アライナ32は、ウエハの位置合わせを行う。アライナ32は、駆動モータ(図示せず)によって回転される回転ステージ33を有する。回転ステージ33は、例えばウエハの直径よりも小さい直径を有し、上面にウエハを載置した状態で回転可能に構成されている。回転ステージ33の近傍には、ウエハの外周縁を検知するための光学センサ34が設けられている。アライナ32では、光学センサ34により、ウエハの中心位置及びウエハの中心に対するノッチの方向が検出され、ウエハの中心位置及びノッチの方向が所定位置及び所定方向となるように後述のフォーク37にウエハが受け渡される。これにより、ロードロックモジュール40内においてウエハの中心位置及びノッチの方向が所定位置及び所定方向となるようにウエハの搬送位置が調整される。
搬送機構35は、ガイドレール(図示せず)と、アーム36と、フォーク37と、を有する。アーム36は、スカラアームタイプであり、ガイドレールに沿って移動自在に構成されると共に、旋回、伸縮、昇降自在に構成される。フォーク37は、アーム36の先端に配置されてウエハを支持する。ローダモジュール30では、搬送機構35が各ロードポート31に載置されたFOUP、アライナ32、及びロードロックモジュール40の間でウエハを搬送する。なお、搬送機構35は、FOUP、アライナ32、及びロードロックモジュール40の間でウエハを搬送することが可能であればよく、図1に示される構成に限定されるものではない。
ロードロックモジュール40は、トランスファモジュール10とローダモジュール30との間に配置されている。ロードロックモジュール40は、内部を真空、大気圧に切り換え可能な内圧可変室からなり、内部に配置された円柱状のステージ41を有する。ロードロックモジュール40は、ウエハをローダモジュール30からトランスファモジュール10へ搬入する際、内部を大気圧に維持してローダモジュール30からウエハを受け取った後、内部を減圧してトランスファモジュール10へウエハを搬入する。また、ウエハをトランスファモジュール10からローダモジュール30へ搬出する際、内部を真空に維持してトランスファモジュール10からウエハを受け取った後、内部を大気圧まで昇圧してローダモジュール30へウエハを搬入する。ステージ41は、上面から突出自在な複数の細棒状の3つのリフトピン42を有する。各リフトピン42は平面視において同一円周上に配置され、ステージ41の上面から突出することによってウエハを支持して持ち上げると共に、ステージ41内へ退出することによって支持するウエハをステージ41へ載置させる。ロードロックモジュール40とトランスファモジュール10とは、開閉自在なゲートバルブ(図示せず)で仕切られている。また、ロードロックモジュール40とローダモジュール30とは、開閉自在なゲートバルブ(図示せず)で仕切られている。
基板処理システム1は、制御装置50を有する。制御装置50は、例えばコンピュータであり、CPU(Central Processing Unit)、RAM(Random Access Memory)、ROM(Read Only Memory)、補助記憶装置等を備える。CPUは、ROM又は補助記憶装置に格納されたプログラムに基づいて動作し、基板処理システムの各構成要素の動作を制御する。
〔ティーチング方法〕
本発明の実施形態に係るティーチング方法について説明する。図2は、検査用ウエハを説明する図である。図3は、受け渡し位置でのステージと検査用ウエハとの間の位置関係を説明する図である。図3(b)は図3(a)の一部を拡大した断面図である。なお、以下の説明において、基板処理システム1の各構成要素の動作は、制御装置50によって制御される。
まず、ロードポート31に検査用ウエハ100を収容するFOUPを載置する。検査用ウエハ100は、図2に示されるように、ベースウエハ101と、複数(例えば3つ)のカメラ102とを有する。ベースウエハ101は、製品用ウエハと同一サイズのウエハであることが好ましい。ベースウエハ101として製品用ウエハと同一サイズのウエハを用いることで、複数のモジュールの間で検査用ウエハ100を製品用ウエハと同様に搬送できる。具体的には、例えば直径が300mmの製品用ウエハを用いる場合、ベースウエハ101として直径が300mmのウエハを用いることが好ましい。複数のカメラ102は、ベースウエハ101の表面の外周縁部に、例えば同一円周上に配置されている。各カメラ102は、ベースウエハ101に形成された開口103を介してベースウエハ101の下方を撮影可能に構成されている。なお、カメラは2つ以上であればよく、その数は限定されない。また、検査用ウエハ100は、複数のカメラ102により撮影された画像を記憶する記憶部を有していてもよい。
次いで、検査用ウエハ100の位置合わせを行う(位置合わせステップ)。位置合わせステップでは、搬送機構35のフォーク37をFOUP内に進入させて検査用ウエハ100を持ち上げて支持した後、FOUP内から退出させる。次いで、検査用ウエハ100を支持したフォーク37をアライナ32内に進入させた後、フォーク37を下降させて回転ステージ33上に検査用ウエハ100を載置する。次いで、フォーク37をアライナ32内から退出させた後、アライナ32によって検査用ウエハ100の位置合わせを行う。検査用ウエハ100の位置合わせが終了した後、フォーク37をアライナ32内に進入させて検査用ウエハ100を持ち上げて支持した後、アライナ32内から退出させる。このとき、検査用ウエハ100の位置合わせが行われているので、検査用ウエハ100の中心位置及びノッチの方向が所定位置及び所定方向となるようにフォーク37に受け渡される。なお、検査用ウエハ100の位置合わせが既に行われている場合には、位置合わせステップを省略してもよい。
次いで、検査用ウエハ100を支持したフォーク37を内部が大気圧に維持されたロードロックモジュール40内に進入させた後、3つのリフトピン42をステージ41の上面から突出させて検査用ウエハ100を持ち上げてフォーク37から離間させる。次いで、フォーク37をロードロックモジュール40内から退出させた後、3つのリフトピン42をステージ41内へ退出させることによって支持する検査用ウエハ100をステージ41へ載置させる。このとき、検査用ウエハ100の中心位置及びノッチの方向が所定位置及び所定方向となるようにフォーク37に受け渡されているので、ロードロックモジュール40内においてウエハの中心位置及びノッチの方向が所定位置及び所定方向となる。
次いで、ロードロックモジュール40の内部を減圧した後、3つのリフトピン42をステージ41の上面から突出させて検査用ウエハ100を持ち上げる。次いで、搬送機構11のフォーク13をロードロックモジュール40内に進入させた後、3つのリフトピン42を下降させて検査用ウエハ100をフォーク13によって支持させる。
次いで、検査用ウエハ100を支持したフォーク13をプロセスモジュール20内に進入させて、搬送機構11のフォーク13とステージ21のリフトピン22との間でウエハを受け渡す受け渡し位置に停止させる(搬送ステップ)。受け渡し位置は、例えば図3に示されるように、ステージ21におけるウエハを載置する面から上方に所定距離Hだけ離間した位置である。このとき、位置合わせステップにおいて、検査用ウエハ100の位置合わせが行われているので、ステージ21上に載置される検査用ウエハ100に配置された複数のカメラ102の位置が所定位置に定まる。
次いで、受け渡し位置で検査用ウエハ100に取り付けられた複数のカメラ102によりステージ21の外周を含む一部分を撮影する(撮影ステップ)。撮影ステップでは、例えば複数のカメラ102によりステージ21の異なる部分を同時に撮影する。次いで、各カメラ102は、それぞれ識別情報(例えばカメラID)及び撮影したステージ21の画像を外部の制御装置50に送信する。なお、カメラ102が通信機能を有していない場合には、検査用ウエハ100にカメラ102とは別に通信手段を取り付けカメラ102により撮影されたステージ21の画像を制御装置50に送信するように構成してもよい。
次いで、制御装置50は、複数のカメラ102により撮影したステージ21の画像に基づいて、ステージ21の中心位置21Zを算出する(算出ステップ)。図4は、撮影した画像からステージ21の中心位置を算出する方法を説明する図である。図4において、ステージ21を一点鎖線で示し、検査用ウエハ100(ベースウエハ101)を実線で示す。例えば、検査用ウエハ100に3つのカメラ102a,102b,102cが取り付けられている場合、3つのカメラ102a,102b,102cの各々により撮影されたステージ21の画像21A,21B,21C(図4参照)に含まれる外周上の3つの点21a,21b,21c(図4参照)の位置座標を用いて代数計算によりステージ21の中心位置21Zを算出する。このとき、複数のカメラ102の位置が所定位置に定まった状態で画像が撮影されるので、画像21A,21B,21Cの位置座標は所定座標に定まる。これにより、画像21A,21B,21Cに含まれる外周上の3つの点21a,21b,21cの位置座標が算出できる。なお、検査用ウエハ100に取り付けられているカメラ102が2つの場合、撮影したステージ21の外周上の2つの点の位置座標と、ステージ21の半径(又は直径)と、を用いて代数計算によりステージ21の中心位置を算出する。ステージ21の半径(又は直径)は、予め記憶装置に記憶されていてもよく、オペレータが入力してもよい。
次いで、制御装置50は、算出ステップで算出したステージ21の中心位置21Zと、受け渡し位置での検査用ウエハ100の中心位置100Zと、に基づいて、受け渡し位置を補正する(補正ステップ)。具体的には、例えば算出ステップで算出したステージ21の中心位置21Zと受け渡し位置での検査用ウエハ100の中心位置100Zとが一致しない場合、中心位置100Zが中心位置21Zに一致するように補正量を算出する。算出した補正量は記憶装置に記憶される。また、制御装置50は、算出した補正量に基づいて、検査用ウエハ100を支持したフォーク13を移動させた後(搬送ステップ)、再び撮影ステップ、算出ステップ及び補正ステップをこの順番で実行してもよい。さらに、これらのステップを繰り返し実行してもよい。繰り返し実行することで、ティーチング精度が向上する。
次いで、検査用ウエハ100を支持したフォーク13をプロセスモジュール20内から退出させた後、内部が真空に維持されたロードロックモジュール40内に進入させる。次いで、3つのリフトピン42をステージ41の上面から突出させて検査用ウエハ100を持ち上げてフォーク13から離間させる。次いで、フォーク13をロードロックモジュール40内から退出させた後、3つのリフトピン42をステージ41内へ退出させることによって支持する検査用ウエハ100をステージ41へ載置させる。
次いで、ロードロックモジュール40の内部を大気圧まで昇圧した後、3つのリフトピン42をステージ41の上面から突出させて検査用ウエハ100を持ち上げる。次いで、搬送機構35のフォーク37をロードロックモジュール40内に進入させる。次いで、3つのリフトピン42を下降させて検査用ウエハ100をフォーク37によって支持させる。
次いで、検査用ウエハ100を支持したフォーク37をFOUP内に進入させて、検査用ウエハ100をロードポート31に載置されたFOUP内に収容する。
以上に説明したように、本発明の実施形態に係るティーチング方法では、外周縁部に複数のカメラ102を有する検査用ウエハ100を、搬送機構11のフォーク13とステージ21のリフトピン22との間でウエハを受け渡す受け渡し位置に搬送する。次いで、受け渡し位置で複数のカメラ102によりステージ21の外周を含む一部分を撮影する。次いで、複数のカメラ102で撮影したステージ21の画像に基づいてステージ21の中心位置21Zを算出し、算出したステージ21の中心位置21Zと、受け渡し位置での検査用ウエハ100の中心位置100Zとに基づいて、受け渡し位置を補正する。即ち、フォーク13とリフトピン22との間でウエハを受け渡す受け渡し位置を、ステージ21の外周を含む一部分の画像に基づいてティーチングする。これにより、搬送機構11とステージ21との間でウエハを受け渡す受け渡し位置を、ステージ21に加工を施すことなくティーチングすることができる。そのため、プロセスモジュール20内のステージ21であって、ウエハの処理結果に影響を及ぼす可能性があるために加工を施すことができない場合であっても、搬送機構11のティーチングを行うことができる。また、検査用ウエハ100にカメラ102が取り付けられているので、複数のチャンバを備える基板処理システム1の複数のチャンバにおける受け渡し位置の補正をする場合であっても、チャンバごとにカメラを設ける必要がない。
また、複数のカメラ102の各々がステージ21の外周を含む一部分を撮影し、複数のカメラ102の各々により撮影された画像に基づいて、受け渡し位置をティーチングするので、各々のカメラ102はステージ21の全体ではなく、一部分のみを撮影すればよい。これにより、近接撮影が可能となり、ステージ21上方の受け渡し位置(高さ)から撮影できるため、高さに制限がある処理室であっても撮影可能である。これに対して、1つのカメラによりステージ21の外周の複数の箇所を撮影するためには、ウエハの全周を撮影する必要があり、受け渡し位置(高さ)から撮影することが困難である。
なお、上記の実施形態では、受け渡し位置で複数のカメラ102によりステージ21の外周を含む一部分を撮影した後、撮影したステージ21の画像を制御装置50に送信して、リアルタイムで算出ステップ及び補正ステップを実行する場合を例に挙げて説明した。但し、検査用ウエハ100が通信手段を備えていない場合、撮影したステージ21の画像を検査用ウエハ100が有する記憶部に保存し、検査用ウエハ100をFOUP内に収容した後、記憶部から複数のカメラ102により撮影されたステージ21の外周を含む一部分の画像を取得して算出ステップ及び補正ステップを実行してもよい。
また、上記の実施形態では、ティーチング方法として、プロセスモジュール20内のステージ21に対する搬送機構11の位置ずれを補正する場合について説明したが、これに限定されない。例えば、本発明の実施形態に係るティーチング方法は、ロードロックモジュール40のステージ41に対する搬送機構35の位置ずれを補正する場合にも同様に適用できる。
また、上記の実施形態では、カメラ102の撮影方向が下方である場合を例に挙げて説明したが、これに限定されない。例えば図5に示されるように、カメラ102の撮影方向は水平方向であってもよい。カメラ102の撮影方向が水平方向である場合、ベースウエハ101の表面にプリズム104を取り付け、プリズム104を介してベースウエハ101の下方を撮影することができる。ステージ21と検査用ウエハ100との間の距離が近い場合、ワーキングディスタンスの短いカメラを選択する必要があるが、カメラ102の撮影方向を水平方向とすることで、プリズム104の反射を利用してワーキングディスタンスを長くすることができる。そのため、選択可能なカメラの種類を増やすことができる。その結果、ステージ21と受け渡し位置における検査用ウエハ100との間の距離が小さい場合であっても、小型カメラでステージ21の外周を含む一部分を撮影することができる。
また、例えば検査用ウエハ100の下面には、タッチセンサが取り付けられていることが好ましい。この場合、例えばプロセスモジュール20内において、3つのリフトピン22をステージ21の上面から徐々に突出させて検査用ウエハ100の下面との接触(タッチ)をタッチセンサにより検出できる。これにより、プロセスモジュール20内において、3つのリフトピン22とフォーク13との間での鉛直方向の受け渡し位置(高さ)をティーチングできる。また、例えばプロセスモジュール20内において、3つのリフトピン22を所定高さ(例えばウエハの受け渡し高さ)に突出させた状態で、検査用ウエハ100を支持したフォーク13をステージ21の上方から下降させてリフトピン22と検査用ウエハ100の下面との接触をタッチセンサにより検出できる。これにより、プロセスモジュール20内において、3つのリフトピン22とフォーク13との間での鉛直方向のウエハの受け渡し高さを搬送機構11にティーチングできる。また、ロードロックモジュール40内における3つのリフトピン42とフォーク13,37との間の鉛直方向のウエハの受け渡し高さについても、プロセスモジュール20の場合と同様の方法によりティーチングできる。このように、検査用ウエハ100の下面にタッチセンサが取り付けられている場合、リフトピン22のタッチポジションを正確に検出できるので、受け渡し位置の水平方向のティーチングに加えて、鉛直方向のティーチングを一つの検査用ウエハ100を用いて実行できる。そのため、一度のティーチングに準備する検査用ウエハ100の枚数を低減できる。
次に、カメラ102が配置される設計上の位置に対する実際の取り付け位置のずれを較正する方法(較正ステップ)について説明する。図6は、カメラの取り付け位置を較正する方法を説明する図である。図6(a)は、ベースウエハ101に取り付けられた3つのカメラ102の位置を説明する図である。図6(b)は、カメラ102の取り付け位置を較正するための較正シートの一例を示す図である。
図6(a)に示されるように、検査用ウエハ100には、ベースウエハ101の表面の外周縁部の同一円周上に3つのカメラ102,102b,102cが配置されている。
図6(b)に示されるように、較正シート200には、3つのカメラ102a,102b,102cが配置される設計上の位置と対応する位置に較正用の模様(以下「較正指標201a,201b,201c」という。)が設置されている。較正指標201a,201b,201cは、例えば図6(b)に示されるように、複数のドットが周期的に配列された模様とすることができる。但し、較正指標201a,201b,201cはこれに限定されず、例えば十字マーク等であってもよい。
較正ステップは、搬送ステップの前に行われることが好ましい。較正ステップでは、検査用ウエハ100に配置された複数のカメラ102a,102b,102cにより、それぞれ較正シート200に設置された較正指標201a,201b,201cを撮影する。次いで、較正指標201a,201b,201cを撮影した実際のカメラ画像(実画像)と、カメラ102a,102b,102cの姿勢や較正指標201a,201b,201cとの位置関係から算出可能な本来映るはずの画像(理想画像)とを比較する。次いで、実画像と理想画像とが一致するように、カメラ102a,102b,102cが配置される設計上の位置に対する実際の取り付け位置のずれを較正する。較正ステップを実行することにより、カメラ102a,102b,102cが配置される設計上の位置に対する実際の取り付け位置のずれが較正されるので、ベースウエハ101へのカメラ102の設置誤差等の影響を除去できる。その結果、補正ステップでの受け渡し位置の補正精度が向上する。
なお、上記の実施形態において、ステージ21,41は載置台の一例であり、検査用ウエハ100は検査用基板の一例であり、カメラ102は撮影手段の一例であり、プリズム104は反射手段の一例である。
以上、本発明を実施するための形態について説明したが、上記内容は、発明の内容を限定するものではなく、本発明の範囲内で種々の変形及び改良が可能である。
上記の実施形態では、基板が半導体ウエハである場合を例に挙げて説明したが、本発明はこれに限定されない。例えば、基板はガラス基板、LCD基板等であってもよい。
11 搬送機構
12 アーム
13 フォーク
20 プロセスモジュール
21 ステージ
22 リフトピン
40 ロードロックモジュール
41 ステージ
42 リフトピン
100 検査用ウエハ
101 ベースウエハ
102 カメラ
103 開口
104 プリズム
W ウエハ

Claims (12)

  1. 載置台に基板を搬送する搬送機構のティーチング方法であって、
    外周縁部に複数の撮影手段を有する検査用基板を、前記搬送機構と前記載置台との間で前記基板を受け渡す受け渡し位置に搬送する搬送ステップと、
    前記受け渡し位置で前記複数の撮影手段により前記載置台の外周を含む一部分を撮影する撮影ステップと、
    前記複数の撮影手段により撮影された画像に基づいて、前記載置台の中心位置を算出する算出ステップと、
    前記算出ステップで算出された前記載置台の中心位置と、前記受け渡し位置での前記検査用基板の中心位置と、に基づいて、前記受け渡し位置を補正する補正ステップと、
    を有する、
    ティーチング方法。
  2. 前記複数の撮影手段は、同一円周上に配置されている、
    請求項1に記載のティーチング方法。
  3. 前記複数の撮影手段は、前記載置台の異なる部分を同時に撮影する、
    請求項1又は2に記載のティーチング方法。
  4. 前記撮影手段は、反射手段を介して前記載置台を撮影する、
    請求項1乃至3のいずれか一項に記載のティーチング方法。
  5. 前記載置台は、前記基板に対して所定の処理を行う処理室内に設けられている、
    請求項1乃至4のいずれか一項に記載のティーチング方法。
  6. 前記受け渡し位置は、前記載置台における前記基板を載置する面から上方に所定距離だけ離間した位置である、
    請求項1乃至5のいずれか一項に記載のティーチング方法。
  7. 前記搬送ステップ、前記撮影ステップ、前記算出ステップ及び前記補正ステップをこの順番に繰り返し実行する、
    請求項1乃至6のいずれか一項に記載のティーチング方法。
  8. 前記搬送ステップの前に、前記検査用基板の位置合わせを行う位置合わせステップを有する、
    請求項1乃至7のいずれか一項に記載のティーチング方法。
  9. 前記搬送ステップの前に、前記検査用基板における前記撮影手段が配置される設計上の位置に対する実際の取り付け位置のずれを較正する較正ステップを有する、
    請求項1乃至8のいずれか一項に記載のティーチング方法。
  10. 前記検査用基板は、前記複数の撮影手段により撮影した画像を外部に送信する通信手段を有する、
    請求項1乃至9のいずれか一項に記載のティーチング方法。
  11. 前記検査用基板は、前記基板と同一サイズである、
    請求項1乃至10のいずれか一項に記載のティーチング方法。
  12. 前記検査用基板には、タッチセンサが取り付けられている、
    請求項1乃至11のいずれか一項に記載のティーチング方法。
JP2017234484A 2017-12-06 2017-12-06 ティーチング方法 Active JP7097691B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2017234484A JP7097691B2 (ja) 2017-12-06 2017-12-06 ティーチング方法
US16/209,801 US11037810B2 (en) 2017-12-06 2018-12-04 Teaching method
KR1020180154994A KR102239052B1 (ko) 2017-12-06 2018-12-05 티칭 방법
CN201811488001.XA CN109994404B (zh) 2017-12-06 2018-12-06 示教方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017234484A JP7097691B2 (ja) 2017-12-06 2017-12-06 ティーチング方法

Publications (2)

Publication Number Publication Date
JP2019102728A true JP2019102728A (ja) 2019-06-24
JP7097691B2 JP7097691B2 (ja) 2022-07-08

Family

ID=66657670

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017234484A Active JP7097691B2 (ja) 2017-12-06 2017-12-06 ティーチング方法

Country Status (4)

Country Link
US (1) US11037810B2 (ja)
JP (1) JP7097691B2 (ja)
KR (1) KR102239052B1 (ja)
CN (1) CN109994404B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019161185A (ja) * 2018-03-16 2019-09-19 株式会社東京精密 プローバ
WO2021050446A1 (en) * 2019-09-09 2021-03-18 Kla Corporation Automatic teaching of substrate handling for production and process control tools
KR20210103404A (ko) 2020-02-13 2021-08-23 도쿄엘렉트론가부시키가이샤 티칭 방법
JP2021521651A (ja) * 2018-04-24 2021-08-26 サイバーオプティクス コーポレーション 半導体処理のための無線基板状ティーチングセンサ
CN114025913A (zh) * 2019-07-16 2022-02-08 德马吉森精机有限公司 测量装置
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
CN114514094A (zh) * 2019-08-19 2022-05-17 应用材料公司 处理系统对准器站的校准
KR20220122496A (ko) 2021-02-26 2022-09-02 도쿄엘렉트론가부시키가이샤 반송 시스템, 반송 장치 및 반송 방법
KR20230075643A (ko) * 2021-11-23 2023-05-31 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR20240050374A (ko) 2021-08-26 2024-04-18 도쿄엘렉트론가부시키가이샤 지그 기판 및 티칭 방법

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7246256B2 (ja) * 2019-05-29 2023-03-27 東京エレクトロン株式会社 搬送方法及び搬送システム
CN110767587B (zh) * 2019-10-21 2022-04-01 西安奕斯伟材料科技有限公司 一种晶圆处理装置和上下料方法
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
JP2022042122A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 基板処理システム及び状態監視方法
KR102635383B1 (ko) 2020-09-21 2024-02-14 세메스 주식회사 기판 처리 장치
US11854911B2 (en) * 2021-02-25 2023-12-26 Applied Materials, Inc. Methods, systems, and apparatus for conducting chucking operations using an adjusted chucking voltage if a process shift occurs
CN112820686A (zh) * 2021-03-09 2021-05-18 上海广川科技有限公司 晶圆示教装置及示教方法
CN115206862B (zh) * 2022-09-16 2023-02-03 杭州中欣晶圆半导体股份有限公司 一种解决硅片交接过程中精度失控的控制装置及控制方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008198797A (ja) * 2007-02-13 2008-08-28 Tokyo Electron Ltd 基板位置検出装置及びその撮像手段位置調整方法
JP2008544493A (ja) * 2005-06-08 2008-12-04 アクセリス テクノロジーズ インコーポレーテッド ワークピース取扱アライメントシステム
JP2010226014A (ja) * 2009-03-25 2010-10-07 Panasonic Corp 基板搬送装置
KR20130125158A (ko) * 2012-05-08 2013-11-18 세메스 주식회사 기판 이송 로봇의 자동 티칭 장치를 구비하는 반도체 제조 설비 및 그의 티칭 방법
JP2014128855A (ja) * 2012-12-28 2014-07-10 Kawasaki Heavy Ind Ltd 自動教示システム及び教示方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4813765B1 (ja) 1969-11-21 1973-04-28
US20030012631A1 (en) * 2001-07-12 2003-01-16 Pencis Christopher H. High temperature substrate transfer robot
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
JP4239572B2 (ja) * 2002-11-27 2009-03-18 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び処理システム
KR100772843B1 (ko) * 2006-02-13 2007-11-02 삼성전자주식회사 웨이퍼 얼라인 장치 및 방법
US8600150B2 (en) * 2006-02-13 2013-12-03 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
JP5132904B2 (ja) * 2006-09-05 2013-01-30 東京エレクトロン株式会社 基板位置決め方法,基板位置検出方法,基板回収方法及び基板位置ずれ補正装置
US7724007B2 (en) * 2007-09-28 2010-05-25 Tokyo Electron Limited Probe apparatus and probing method
US8464868B2 (en) 2007-11-19 2013-06-18 Gary Iver Kruger Toothbrush holder
KR20090051423A (ko) 2007-11-19 2009-05-22 세메스 주식회사 기판 이송 로봇의 자동 티칭 장치 및 그 방법
KR101579990B1 (ko) * 2007-12-27 2015-12-23 램 리써치 코포레이션 적어도 광 소스를 이용하여 엔드 이펙터 정렬을 교정하는 방법 및 시스템
JP4993614B2 (ja) * 2008-02-29 2012-08-08 東京エレクトロン株式会社 搬送手段のティーチング方法、記憶媒体及び基板処理装置
KR101305265B1 (ko) * 2008-07-23 2013-09-06 가부시키가이샤 다이후쿠 물품 반송 설비에 있어서의 학습 장치 및 학습 방법
US20100154826A1 (en) * 2008-12-19 2010-06-24 Tokyo Electron Limited System and Method For Rinse Optimization
JP2011134898A (ja) * 2009-12-24 2011-07-07 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP6035279B2 (ja) * 2014-05-08 2016-11-30 東京エレクトロン株式会社 膜厚測定装置、膜厚測定方法、プログラム及びコンピュータ記憶媒体
JP6244329B2 (ja) * 2015-05-12 2017-12-06 東京エレクトロン株式会社 基板の検査方法、基板処理システム及びコンピュータ記憶媒体
CN111656541B (zh) * 2018-01-18 2023-07-25 应用材料意大利有限公司 用于对准太阳能电池元件的设备、在制造太阳能电池布置中使用的系统及方法
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CA3126283A1 (en) * 2019-03-08 2020-09-17 Gecko Robotics, Inc. Inspection robot
JP2021027109A (ja) * 2019-08-02 2021-02-22 東京エレクトロン株式会社 基板位置検出方法、基板位置調整方法および基板位置検出装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008544493A (ja) * 2005-06-08 2008-12-04 アクセリス テクノロジーズ インコーポレーテッド ワークピース取扱アライメントシステム
JP2008198797A (ja) * 2007-02-13 2008-08-28 Tokyo Electron Ltd 基板位置検出装置及びその撮像手段位置調整方法
JP2010226014A (ja) * 2009-03-25 2010-10-07 Panasonic Corp 基板搬送装置
KR20130125158A (ko) * 2012-05-08 2013-11-18 세메스 주식회사 기판 이송 로봇의 자동 티칭 장치를 구비하는 반도체 제조 설비 및 그의 티칭 방법
JP2014128855A (ja) * 2012-12-28 2014-07-10 Kawasaki Heavy Ind Ltd 自動教示システム及び教示方法

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019161185A (ja) * 2018-03-16 2019-09-19 株式会社東京精密 プローバ
JP7153848B2 (ja) 2018-03-16 2022-10-17 株式会社東京精密 プローバ
JP7161236B2 (ja) 2018-04-24 2022-10-26 サイバーオプティクス コーポレーション 半導体処理のための無線基板状ティーチングセンサ
JP2021521651A (ja) * 2018-04-24 2021-08-26 サイバーオプティクス コーポレーション 半導体処理のための無線基板状ティーチングセンサ
US11953307B2 (en) 2019-07-16 2024-04-09 Dmg Mori Co., Ltd. Measuring apparatus
CN114025913B (zh) * 2019-07-16 2024-03-08 德马吉森精机有限公司 测量装置
CN114025913A (zh) * 2019-07-16 2022-02-08 德马吉森精机有限公司 测量装置
EP3974105A4 (en) * 2019-07-16 2022-07-06 DMG Mori Co., Ltd. MEASUREMENT DEVICE
CN114514094B (zh) * 2019-08-19 2024-05-24 应用材料公司 处理系统对准器站的校准
JP7412534B2 (ja) 2019-08-19 2024-01-12 アプライド マテリアルズ インコーポレイテッド 処理システムのアライナステーションの較正
CN114514094A (zh) * 2019-08-19 2022-05-17 应用材料公司 处理系统对准器站的校准
JP2022546251A (ja) * 2019-08-19 2022-11-04 アプライド マテリアルズ インコーポレイテッド 処理システムのアライナステーションの較正
US11908722B2 (en) 2019-09-09 2024-02-20 Kla Corporation Automatic teaching of substrate handling for production and process-control tools
WO2021050446A1 (en) * 2019-09-09 2021-03-18 Kla Corporation Automatic teaching of substrate handling for production and process control tools
JP7365924B2 (ja) 2020-02-13 2023-10-20 東京エレクトロン株式会社 ティーチング方法
JP2021129006A (ja) * 2020-02-13 2021-09-02 東京エレクトロン株式会社 ティーチング方法
KR20210103404A (ko) 2020-02-13 2021-08-23 도쿄엘렉트론가부시키가이샤 티칭 방법
US11984340B2 (en) 2020-02-13 2024-05-14 Tokyo Electron Limited Teaching method
US11736818B2 (en) 2020-09-15 2023-08-22 Applied Materials, Inc. Smart camera substrate
WO2022060495A1 (en) * 2020-09-15 2022-03-24 Applied Materials, Inc. Smart camera substrate
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR20220122496A (ko) 2021-02-26 2022-09-02 도쿄엘렉트론가부시키가이샤 반송 시스템, 반송 장치 및 반송 방법
KR20240050374A (ko) 2021-08-26 2024-04-18 도쿄엘렉트론가부시키가이샤 지그 기판 및 티칭 방법
KR20230075643A (ko) * 2021-11-23 2023-05-31 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR102651649B1 (ko) * 2021-11-23 2024-03-26 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법

Also Published As

Publication number Publication date
KR20190067105A (ko) 2019-06-14
CN109994404A (zh) 2019-07-09
JP7097691B2 (ja) 2022-07-08
US11037810B2 (en) 2021-06-15
US20190172742A1 (en) 2019-06-06
CN109994404B (zh) 2023-06-06
KR102239052B1 (ko) 2021-04-09

Similar Documents

Publication Publication Date Title
JP7097691B2 (ja) ティーチング方法
KR102046705B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR100832925B1 (ko) 반송기구의 반송 어긋남 산출 방법 및 반도체 처리 장치
US20100008688A1 (en) Method for aligning transfer position of transfer system
US20170372933A1 (en) Apparatus and method for treating substrate
KR20130094754A (ko) 반송 시스템
JP2020105629A (ja) アライメントシステム、成膜装置、成膜方法、及び電子デバイスの製造方法
KR20200120704A (ko) 콘택트 정밀도 보증 방법, 콘택트 정밀도 보증 기구, 및 검사 장치
KR102471809B1 (ko) 티칭 방법
JP5089765B2 (ja) 制御装置及び制御方法
JP2010283334A (ja) 基板処理装置及び半導体装置の製造方法
JP2006269497A (ja) 基板処理装置及び基板収納方法
JP4468159B2 (ja) 基板処理装置及びその搬送位置合わせ方法
CN113851408A (zh) 对准装置、成膜装置、对准方法、电子器件的制造方法及存储介质
KR102462619B1 (ko) 기판 처리 장치, 기판 처리 장치의 운전 방법 및 기억 매체
US7361920B2 (en) Substrate processing apparatus and transfer positioning method thereof
KR20220162054A (ko) 정보 처리 장치, 이재 위치 교시 방법 및 기판 처리 장치
KR101906986B1 (ko) 인덱스 유니트가 마련된 검사 장치
CN113257729B (zh) 示教方法
JP7429579B2 (ja) アライナ装置および板状ワークの位置ずれ補正方法
US20240021452A1 (en) Wafer transfer apparatus capable of automatic teaching and semiconductor processing system including the same
JP6458292B2 (ja) 基板処理装置、基板処理装置の運転方法及び記憶媒体
KR20240048482A (ko) 기판 반송 유닛 및 기판 반송 제어 방법
CN113851390A (zh) 基板输送装置、基板处理系统、基板输送方法、电子器件的制造方法及存储介质

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200818

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220628

R150 Certificate of patent or registration of utility model

Ref document number: 7097691

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150