JP2017107185A - オーバーコートされたフォトレジストと共に用いるためのコーティング組成物 - Google Patents

オーバーコートされたフォトレジストと共に用いるためのコーティング組成物 Download PDF

Info

Publication number
JP2017107185A
JP2017107185A JP2016220509A JP2016220509A JP2017107185A JP 2017107185 A JP2017107185 A JP 2017107185A JP 2016220509 A JP2016220509 A JP 2016220509A JP 2016220509 A JP2016220509 A JP 2016220509A JP 2017107185 A JP2017107185 A JP 2017107185A
Authority
JP
Japan
Prior art keywords
photoresist
coating composition
groups
composition
resin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016220509A
Other languages
English (en)
Other versions
JP6509797B2 (ja
Inventor
ジェ−フン・シム
Jae Hwan Sim
ジュン−キュ・ジョ
Jung Kyu Jo
ウネ・チョ
EunHye Cho
フェ−ウォン・リー
Hye-Won Lee
ジンホン・パク
Jin Hong Park
ウイヒュン・リュ
Eui-Hyun Ryu
ジェ−ボン・リム
Jae-Bong Lim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials Korea Ltd
Original Assignee
Rohm and Haas Electronic Materials Korea Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials Korea Ltd filed Critical Rohm and Haas Electronic Materials Korea Ltd
Publication of JP2017107185A publication Critical patent/JP2017107185A/ja
Application granted granted Critical
Publication of JP6509797B2 publication Critical patent/JP6509797B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/201Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1818C13or longer chain (meth)acrylate, e.g. stearyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/32Esters containing oxygen in addition to the carboxy oxygen containing epoxy radicals
    • C08F220/325Esters containing oxygen in addition to the carboxy oxygen containing epoxy radicals containing glycidyl radical, e.g. glycidyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F8/00Chemical modification by after-treatment
    • C08F8/14Esterification
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/68Polyesters containing atoms other than carbon, hydrogen and oxygen
    • C08G63/685Polyesters containing atoms other than carbon, hydrogen and oxygen containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/68Polyesters containing atoms other than carbon, hydrogen and oxygen
    • C08G63/685Polyesters containing atoms other than carbon, hydrogen and oxygen containing nitrogen
    • C08G63/6854Polyesters containing atoms other than carbon, hydrogen and oxygen containing nitrogen derived from polycarboxylic acids and polyhydroxy compounds
    • C08G63/6856Dicarboxylic acids and dihydroxy compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/78Preparation processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L35/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical, and containing at least one other carboxyl radical in the molecule, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L35/06Copolymers with vinyl aromatic monomers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L61/00Compositions of condensation polymers of aldehydes or ketones; Compositions of derivatives of such polymers
    • C08L61/04Condensation polymers of aldehydes or ketones with phenols only
    • C08L61/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • C08L61/14Modified phenol-aldehyde condensates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D125/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Coating compositions based on derivatives of such polymers
    • C09D125/18Homopolymers or copolymers of aromatic monomers containing elements other than carbon and hydrogen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/08Homopolymers or copolymers of acrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/04Condensation polymers of aldehydes or ketones with phenols only
    • C09D161/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • C09D161/14Modified phenol-aldehyde condensates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D167/00Coating compositions based on polyesters obtained by reactions forming a carboxylic ester link in the main chain; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D167/00Coating compositions based on polyesters obtained by reactions forming a carboxylic ester link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D167/02Polyesters derived from dicarboxylic acids and dihydroxy compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/006Anti-reflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • C08F220/302Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety and two or more oxygen atoms in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2666/00Composition of polymers characterized by a further compound in the blend, being organic macromolecular compounds, natural resins, waxes or and bituminous materials, non-macromolecular organic substances, inorganic substances or characterized by their function in the composition
    • C08L2666/02Organic macromolecular compounds, natural resins, waxes or and bituminous materials
    • C08L2666/04Macromolecular compounds according to groups C08L7/00 - C08L49/00, or C08L55/00 - C08L57/00; Derivatives thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Epoxy Resins (AREA)
  • Paints Or Removers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】反射防止機能に優れたコーティング組成物、および、それを用いたパターン形成方法を提供する。
【解決手段】有機コーティング組成物、具体的には、オーバーコートされたフォトレジストと共に用いるための反射防止コーティング組成物であって、1)1つ以上のグリシジル基と、2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む、反射防止コーティング組成物であり、それをフォトレジスト下層に設け、露光、現像し、パターン形成を行う方法である。。
【選択図】なし

Description

本発明は、組成物、具体的には、マイクロエレクトロニクス用途に用いるための反射防止コーティング組成物に関する。好ましい態様では、本発明の組成物は、1)1つ以上のグリシジル基と、2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む。本発明の好ましい組成物は、オーバーコートされたフォトレジスト組成物と共に用いられ、これらは、下部反射防止組成物または「BARC」と称され得る。
フォトレジストは、基材への像の転写のために用いられる感光膜である。フォトレジストのコーティング層が基材上に形成され、その後、フォトレジスト層がフォトマスクを介して活性化放射線源に露光される。露光後、フォトレジストが現像されて、基材の選択的加工を可能にするレリーフ像を提供する。
フォトレジストを露光するために用いられる活性化放射線の反射は、しばしばフォトレジスト層にパターニングされる像の解像度に限度をもたらす。基材/フォトレジスト界面からの放射線の反射は、フォトレジストにおける放射強度に空間的変動を引き起こす場合があり、結果的に現像時の非均一のフォトレジスト線幅を生じさせる。放射線は、基材/フォトレジスト界面から露光が意図されないフォトレジストの領域内に散乱する可能性もあり、この場合もやはり結果的に線幅変動を生じさせる。
反射放射線の問題を減らすために用いられる1つの手法は、基材表面とフォトレジストコーティング層との間に介在する放射線吸収層(反射防止組成物層)の使用である。米国特許第8,338,078号、同第69270152号、同第5,677,112号、同第8,481,247号、同第8,012,670号、同第6,818,381号、及び同第7,846,638号、国際公開第WO06/7329A1号、及び欧州特許第EP2000852号を参照されたい。
SiO、TiN、及び他の金属は、上にフォトレジスト及び反射防止組成物がコーティングされる一般的な基材である。オキシ窒化シリコン(SiON)層及び他の無機物、例えばSiコーティング剤は、例えば、エッチング停止層及び無機反射防止層として半導体デバイス製造において利用されている。米国特許第6,124,217号、同第6,153,504号、及び同第6,245,682号を参照されたい。
ドライエッチングは、しばしば、フォトレジストレリーフ像によるパターン転写に好ましい。しかしながら、フラッシュメモリの製造に利用され得るような、ドライエッチングプロセスにおいて使用されるプラズマが、酸化物及び窒化物の薄層に損傷をもたらす場合がある。結果として、ウェットエッチングプロセスの比較的穏やかな条件のため、多くの場合、ウェットエッチングが、そのようなより脆弱な基材を通した(trough)パターン転写に使用される。
窒化チタン(TiN)等の金属窒化物のウェットエッチングは、水酸化アンモニウムと過酸化水素の水性混合物、または硫酸等の酸と過酸化水素等の過酸化物の混合物のいずれかを用いて行われている。例えば、米国特許第US2006/0226122号を参照されたい。
そのような従来のウェットエッチング液を用いることによる問題は、それらの選択性の欠如である。これらのウェットエッチング液は、周囲の構造を攻撃し、エッチング、あるいは特に一部のフォトレジストの場合、膨張及び/またはフォトレジストが塗布される基材への付着の低下をもたらす。限界寸法が低下し続けるため、そのような選択性の欠如は、ますます許容不可能になる。
それ故に、オーバーコートされたフォトレジストと共に使用するための新規の反射防止組成物を有することが望ましい。増強された性能を示し、オーバーコートされたフォトレジストにパターニングされる像の増大した解像度を提供し得る新規の反射防止組成物を有することが特に望ましい。また、ウェットエッチングプロセスを通してを含む、金属基材表面上への塗布のための、また良好な解像度及び金属基材付着を提供し得る新規の反射防止組成物を有することが望ましい。
我々は、オーバーコートされたフォトレジスト組成物と共に使用され得る新たなコーティング組成物を提供する。好ましい態様では、本発明のコーティング組成物は、オーバーコートされたレジスト層のための効果的な反射防止層として機能することができる。
好ましい実施形態では、有機コーティング組成物、具体的には、下地金属基材表面、例えば、SiOまたはTiNを含む基材表面に対して付着増強を示し得る、オーバーコートされたフォトレジストと共に用いるための反射防止コーティング組成物が提供される。好ましい態様では、そのような付着増強は、SiOまたはTiN等の下地金属層のウェットエッチング加工、または酸化物もしくは窒化物、例えば、SiOもしくはTiNを含む金属層等の金属層の上に重なるコーティング層のウェットエッチング加工を介して示され得る。
我々は、本発明の下地コーティング組成物が、ウェットエッチングプロセス中を含んで、予想外に良好なリソグラフィ性能を提供し得ることを見出した。とりわけ、本発明の下地コーティング組成物は、金属基材、例えば、現像後のウェットエッチングプロセスを通してを含んで、SiOまたはTiN基材表面に対して良好な付着を提供し得る。例えば、以下に続く実施例に記述される結果を参照されたい。
好ましい態様では、1)1つ以上グリシジル基と、2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む1つ以上の材料を含む、下地コーティング組成物が、提供される。
ある特定の態様では、コーティング組成物は、1)1つ以上のグリシジル基を含む第1の樹脂と、2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基を含む第2の樹脂と、を含んでもよい。
他の態様では、コーティング組成物は、1)1つ以上のグリシジル基、ならびに2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基の両方を含む樹脂を含んでもよい。
特定の好ましい態様では、コーティング組成物は、カテコール部分を含む芳香族基を含む材料、例えば、ペンダント基等のカテコール基または樹脂骨格の反復単位のいずれかを含む樹脂を含む。
反射防止用途について、本発明の下地組成物はまた、オーバーコートされたレジスト層を露光するのに用いられる望ましくない放射線を吸収することができる発色団基を含む成分を含有するのが好ましく、レジスト層に反射して戻るのを防ぐ。樹脂または他の材料は、かかる発色団基、例えば、単環または縮合環芳香族化合物、例えば、任意に置換されたフェニル、ナフチル、またはアントラセニルを含んでもよい。
別の実施形態では、新規のカテコール含有ポリマーが提供される。好ましい態様では、カテコール試薬を予備成形された樹脂と反応させて、ペンダントカテコール部分を有する樹脂を得る。
オーバーコートされたフォトレジストとの使用において、コーティング組成物は、その上に1つ以上の有機または無機コーティング層を有し得る半導体ウェハ等の基材上に塗布されてもよい。
論じたように、本発明のコーティング組成物は、具体的には、SiOまたはTiN等の酸化物または窒化物基材表面上への塗布のために有用であってもよい。
塗布されたコーティング層は、フォトレジスト層でオーバーコートされる前に、任意に熱処理されてもよい。かかる熱処理は、コーティング組成物層の架橋を含む硬化を引き起こしてもよい。かかる架橋は、1つ以上の組成物成分との間での硬化及び/または共有結合形成反応を含んでもよく、コーティング組成物層の水接触角を調節することができる。
その後、フォトレジスト組成物は、コーティング組成物層上に塗布されてもよく、続いて塗布されたフォトレジスト組成物層をパターニングされた活性化放射線で像形成し、像形成されたフォトレジスト組成物層が現像されて、フォトレジストレリーフ像を提供する。
次いで、レジストレリーフ像を有する基材は、例えば、水酸化アンモニウムと過酸化水素の水性混合物等のアルカリ性ウェットエッチング液組成物による処理、または硫酸と過酸化水素の水性混合物等の酸性ウェットエッチング液による処理のように、ウェットエッチングされてもよい。
多種多様のフォトレジストを、本発明のコーティング組成物と組み合わせて(すなわち、オーバーコートして)用いてもよい。本発明の下地コーティング組成物と共に用いるための好ましいフォトレジストは化学増幅されたレジストであり、これには、1つ以上の光活性化合物を含有するフォトレジスト及び光生成された酸の存在下で非ブロック化または開裂反応を受ける単位を含有する樹脂成分が含まれる。
好ましい態様では、フォトレジスト組成物は、現像プロセス後に露光領域が残るネガ型レジストのために設計されるが、ポジ型現像もまた、フォトレジスト層の露光部分を除去するために使用することができる。
本発明はさらに、フォトレジストレリーフ像の形成方法、及び本発明のコーティング組成物を単独でまたはフォトレジスト組成物と組み合わせてコーティングした基材(マイクロエレクトロニクスウェハ基材等)を含む新規の製品を提供する。
本発明はまた、オーバーコートされたフォトレジストレリーフ像によってむき出しになった基材領域のウェットエッチングを含むプロセスも含む。
本発明の他の態様は、以下に開示される。
コーティング組成物
上で論じたように、好ましい態様では、有機コーティング組成物、具体的には、1)1つ以上のグリシジル基と、2)各々が、ヒドロキシル(−OH)、チオール(S<)、及び/またはアミン(−N<)部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む1つ以上の材料を含む、オーバーコートされたフォトレジストと共に用いるための反射防止コーティングが、提供される。そのようなヒドロキシル、チオ、またはアミン基が、芳香族環原子(例えば、芳香族環の炭素原子)に直接結合されたO、S、もしくはN原子を有し得るか、またはO、S、もしくはN以外の1つ以上の原子が、それぞれのヒドロキシル、チオ、もしくはアミン部分のO、S、もしくはN原子と芳香族環との間に挿入され得ることを理解されよう。
好ましい芳香族基の置換基には、1つ以上のO、S、及び/またはN原子を有する部分、具体的には、ヒドロキシル、アミン、またはチオ部分を含む部分が含まれる。ある特定の態様では、ヘテロ(O、S、またはN)原子が、芳香族環、例えば、−OH、−SR、及び−NRR(各Rが独立して、任意に置換されたC1−20アルキル等の水素または非水素置換基である)から選択される芳香族環の置換基に直接結合されている部分が好ましい。さらなる態様では、ヘテロ(O、S、またはN)原子が、例えば、任意に置換されたC1−20アルキル(C1−20アルキレンを含む)、任意に置換されたC1−20ヘテロアルキル(C1−20ヘテロアルキレンを含む)、ならびにC1−20アルコキシ、任意に置換されたC1−20アルキルチオ、及び任意に置換されたC1−20アルキルアミンを含むヘテロ脂環式環を含む1つ以上の任意に置換された炭素原子によって、芳香族環から間隔をあける部分が好ましい。
2つ以上のヘテロ含有置換基は、芳香族基上の様々な位置のいずれかで存在してもよい。ある特定の態様では、芳香族基は、隣接環位置(例えば、フェニル環におけるオルソ関係)で存在する2つのヘテロ含有置換基を有する。他の態様では、2つのヘテロ含有置換基は、2つのヘテロ含有環位置間に介入する最低1つ、2つ、3つまたはそれ以上の芳香族環位置によって分離されてもよい。
グリシジル基及び/またはヘテロで置換された芳香族基を含む一般的に好ましい材料は樹脂である。例えば、上で論じたように、好ましいコーティング組成物は、1)1つ以上のグリシジル基を含む第1の樹脂と、2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基を含む第2の樹脂と、を含んでもよい。さらに好ましいコーティング組成物は、1)1つ以上のグリシジル基、ならびに2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基の両方を含む樹脂を含んでもよい。
好適なグリシジル基は、例えば、以下のもの:
Figure 2017107185
(式中、真上の構造nが好適には1〜20、より典型的には1〜8の正の整数であり、Rが、任意に置換されたC1−20アルキル等の水素または非水素置換基である)によって例示されるアクリレート化合物等のグリシジル部分を含むモノマーを重合することによって提供されてもよい。
複数のヘテロ(N、O、S)−含有置換基を含む好適な芳香族基はまた、例えば、以下のもの:
Figure 2017107185
(式中、真上の構造nが、例えば、1〜20、より典型的には1〜8の正の整数である)によって例示されるアクリレート化合物等の好適なヘテロ(N、O、S)−で置換された芳香族基(例えば、フェニル)を含むモノマーを重合することによって提供されてもよい。
好適な発色団基は、例えば、単環または縮合環芳香族、具体的には、以下のもの:
Figure 2017107185
Figure 2017107185
(式中、真上の構造nが、好適には、1〜20、より典型的には1〜8の正の整数である)によって例示されるアクリレート化合物等の任意に置換されたフェニル、ナフチル、またはアントラセニルを含む好適な発色団部分を含むモノマーを重合することによって樹脂中に提供されてもよい。
本発明のコーティング組成物において有用な樹脂は、好適には、グリシジル基含有単位及びヘテロで置換された芳香族単位に加えてさらなる単位、例えば、以下の化合物:
Figure 2017107185
Figure 2017107185
Figure 2017107185
(式中、真上の構造Rが好適には、メチルを含む任意に置換されたC1−20アルキル等の水素または非水素置換基であり、Xが炭素またはヘテロ(O、S、もしくはN)原子である)のうちのいずれかの重合によって提供される単位を含んでもよい。
本発明のコーティング組成物において用いるために特に好ましい樹脂は、以下のものを含む:
1)1)グリシジル基、2)ヒドロキシル等の複数のヘテロ含有置換基を有する芳香族基、及び3)x、y、及びz値がそれぞれ、樹脂中の各反復単位のモル量を指定し、好適には各々独立して、10〜80モルパーセントに変動し得る、以下の樹脂によって例示される、アントラセニル基等の発色団基を含む樹脂。
Figure 2017107185
2)1)グリシジル基、2)アントラセニル基等の発色団基、及び3)第1の樹脂では、x、y、及びz値が単独で、樹脂骨格がそれぞれ、樹脂中の各反復単位のモル量を指定し、x及びzが好適にはそれぞれ独立して、5〜95モルパーセントに変動し得、xが0〜80モルパーセントに変動し得る、以下の樹脂によって例示される、複数のヘテロ含有環置換基を有する芳香族基とは異なる任意の第3の単位を含む樹脂。直下に示される第1の樹脂において、中央単位及び骨格からのペンダントにおいて示される基Xは、任意に置換されたC1−20アルキル、任意に置換された炭素環式アリール、及び任意に置換されたヘテロアリールを含む、様々な基であってもよい。
Figure 2017107185
3)1)グリシジル基、2)複数のヘテロ含有環置換基を有する芳香族基、及び3)第1の樹脂において、x、y、及びz値が単独で、樹脂骨格がそれぞれ、樹脂中の各反復単位のモル量を指定し、x及びzが好適にはそれぞれ独立して、5〜95モルパーセントに変動し得、xが0〜80モルパーセントに変動し得る、以下の樹脂によって例示される、任意の第3の単位を含む樹脂。直下に示される第1の樹脂において、中央単位及び骨格からのペンダントにおいて示される基Xは、任意に置換されたC1−20アルキル、任意に置換された炭素環式アリール、及び任意に置換されたヘテロアリールを含む、様々な基であってもよい。
Figure 2017107185
真上の3つの樹脂構造において、好適には各々のnは、好適には、1〜20の正の整数、より典型的には1〜8の整数であり得、Rは、任意に置換されたC1−20アルキル等の水素または非水素置換基である。
4)以下の例示的な1)カテコールノボラック、及び2)アクリレート系樹脂等の複数のヘテロ含有環置換基を有する芳香族基よりも有する単位を含む樹脂(ホモポリマーまたはコポリマーを含む)。
Figure 2017107185
5)以下のアクリレート系樹脂等のグリシジル基を含む樹脂(ホモポリマーまたはコポリマーを含む)。
Figure 2017107185
上で論じられたように、さらなる実施形態では、新規のカテコール含有ポリマーが提供される。好ましい態様では、カテコール試薬を予備成形された樹脂と反応させて、ペンダントカテコール部分を有する樹脂を得る。例えば、カテコール試薬、例えば、酸性基またはアミン基等のさらなる反応置換基を有するジヒドロキシフェニル化合物を、エポキシ等の反応基を有するポリマーと反応させてもよい。
それ故に、例えば、以下のスキーム1において例示されるように、グリシジル部分を有する示されたアクリレート樹脂等の反応基を有するポリマーを、ポリマーと反応する1つ以上の部分を含むカテコール試薬と反応させて、ペンダントカテコール基を有するポリマー反応生成物を得る。
Figure 2017107185
以下のスキーム2において一般的に例示される、特に好ましい系は、1)ペンダントカテコール部分と、2)ポリマー反応生成物を含有するコーティング層の架橋に関与し得る、ヒドロキシル、例えば、任意に置換されたアミンまたはカルボキシ基のような反応部分とを含むポリマー反応生成物を得るための、カテコール試薬とエポキシ基を含む樹脂等の反応性ポリマーとの反応を含む。
Figure 2017107185
本明細書において言及されるように、好適なヘテロアルキルには、任意に置換されたC1−20アルコキシ、好ましくは1〜約20個の炭素原子を有する任意に置換されたアルキルチオ、好ましくは1〜約20個の炭素原子を有する任意に置換されたアルキルスルフィニル、好ましくは1〜約20個の炭素原子を有する任意に置換されたアルキルスルホニル、及び好ましくは1〜約20個の炭素原子を有する任意に置換されたアルキルアミンが含まれる。
別段指示がない限り、「ヘテロアルキル」基という用語は、「ヘテロ脂環式」基を含むことも理解されよう。ヘテロ脂環式基は、1つ以上のヘテロ(例えば、N、O、またはS)環原子を有する非芳香族環基である。好ましいヘテロ脂環式基は、5〜20個の環原子、及び1、2、または3個のN、O、またはS環原子を有する。
「アルキル」という用語は、直鎖アルキル基、分岐鎖アルキル基、シクロアルキル(脂環式)基、アルキル置換シクロアルキル基、及びシクロアルキル置換アルキル基を含む、飽和脂肪族基のラジカルを指す。好ましい態様では、直鎖または分岐鎖アルキル基は、その骨格中に30個以下の炭素原子(例えば、非環式ではC−C30、分岐鎖ではC−C30)、好ましくは26個以下、より好ましくは20個以下、さらにより好ましくは4個以下の炭素原子を有する。
別段指示がない限り、「アルキル」基という用語は、「炭素脂環式」基を含むことも理解されよう。
本明細書において言及されるように、「炭素脂環式基」という用語は、非芳香族基の各環員が炭素であることを意味する。炭素脂環式基は、環が芳香族でない限り、1つ以上の環内炭素−炭素二重結合を有することができる。任意に置換された「シクロアルキル基」という用語は、非芳香族基の各環員が炭素であり、炭素環がいかなる環内炭素−炭素二重結合も有しないことを意味する。例えば、シクロヘキシル、シクロペンチル、及びアダマンチルは、シクロアルキル基及び炭素脂環式基である。炭素脂環式基及びシクロアルキル基は、1つの環または複数の(例えば、2、3、4、もしくはそれ以上の)架橋された、縮合された、またはそうでなければ共有結合している環を含んでもよい。
本明細書において言及されるように、「ヘテロアリール」基は、単環であれば1〜3ヘテロ原子を、二環であれば1〜6ヘテロ原子を、または三環であれば1〜9ヘテロ原子を有する、芳香族5〜8員環単環系、8〜12員環二環系、または11〜14員環三環系を含み、当該ヘテロ原子はO、N、またはS(例えば、単環、二環、または三環であれば、それぞれ炭素原子及びN、O、またはSの1〜3、1〜6、もしくは1〜9ヘテロ原子)より選択され、各環の0、1、2、3、または4原子は置換基で置換されてもよい。ヘテロアリール基の例には、ピリジル、フリルまたはフラニル、イミダゾリル、ベンズイミダゾリル、ピリミジニル、チオフェニルまたはチエニル、キノリニル、インドリル、チアゾリル等が含まれる。
「任意に置換された」多種多様の材料及び置換基(上記式(I)及び(II)の基R、R、及びRを含む)は、例えば、ハロゲン(F、Cl、Br、I)、ニトロ、ヒドロキシ、アミノ、C1−8アルキル等のアルキル、C2−8アルケニル等のアルケニル、C1−8アルキルアミノ等のアルキルアミノ、フェニル、ナフチル、アントラセニル等の炭素環式アリール、ヘテロアリール等によって、1つ以上の使用可能な位置で好適に置換されてもよい。
多種多様の樹脂が下地コーティング組成物の樹脂成分として作用してもよい。
本発明のコーティング組成物の特に好ましい樹脂は、ポリエステル結合を含んでもよい。ポリエステル樹脂は、1つ以上のポリオール試薬と1つ以上のカルボキシ基含有(カルボン酸、エステル、無水物等)化合物との反応によって容易に調製可能である。好適なポリオール試薬は、ジオール、グリセロール、及びトリオール、例えばジオール等のジオール類はエチレングリコール、1,2−プロピレングリコール、1,3−プロピレングリコール、ブタンジオール、ペンタンジオール、シクロブチルジオール、シクロペンチルジオール、シクロヘキシルジオール、ジメチルオルシクロヘキサン(dimethylolcyclohexane)であり、及びグリセロール、トリメチルオルエタン、トリメチルオルプロパン等のトリオール類を含む。
本発明の反射防止組成物で使用するために好ましいポリエステル樹脂はまた、米国特許第8,501,383号、米国出願公開第2011/0033801号、及び米国特許第7,163,751号に開示されている。これらの特許文献に開示されるように、エステル反復単位を含有する樹脂(ポリエステル)は、カルボキシ含有化合物(例えば、カルボン酸、エステル、無水物等)及びヒドロキシ含有化合物、好ましくは複数のヒドロキシ基を有する化合物、例えば、エチレングリコールまたはプロピレングリコール等のグリコール、またはグリセロール、または他のジオール、トリオール、テトラオール等との重合により適切に提供され得る。特定の態様では、好ましくは、エステル官能基は、ペンダントまたは側鎖単位としてではなく、ポリマー骨格の成分として、または骨格内に存在する。エステル部分はまた、ペンダント基として存在していてもよいが、好ましくはポリマーは、ポリマー骨格に沿ったエステル官能基も含有する。エステル繰り返し単位が芳香族置換、例えば任意に置換された炭素環式アリール基、例えば、任意に置換されたフェニル、ナフチルまたはアントラセニル置換を、側鎖として、またはさらに好ましくはポリマー骨格に沿ってのいずれかとして含むことも好ましい。
アクリレート系(メタクリレート系を含む)樹脂もまた、好ましい。
本発明のコーティング組成物の樹脂は、米国特許第6,852,421号及び同第8,501,383号に開示されるシアヌレート基等の多種多様の追加基を含んでもよい。
論じたように、反射防止用途について、樹脂を形成するために反応される1つ以上の化合物は、オーバーコートされたフォトレジストコーティング層を露光するために使用された放射線を吸収するための発色団として機能することができる部分を好適に含む。
追加で、反射防止目的で使用される下地コーティング組成物は、水接触角調節を提供する樹脂成分とは別々の発色団単位を含有する材料(例えば、光酸不安定基及び/または塩基反応性基を含有する樹脂)を含有してもよい。例えば、コーティング組成物は、フェニル、アントラセン、ナフチル等の単位を含有するポリマーまたは非ポリマー化合物を含んでもよい。
1つ以上のグリシジル部分及び/または複数のヘテロ含有置換基を有する芳香族基を含む樹脂は、容易に調製することができる。例えば、所望の基を含有するモノマーは、重合されてもよい。好ましい合成は、以下に続く実施例に記述されている。
好ましくは、本発明の下地コーティング組成物の樹脂は、約1,000〜約10,000,000ダルトン、より典型的には約2,000〜約10,000ダルトンの重量平均分子量(Mw)を、及び約500〜約1,000,000ダルトンの数平均分子量(Mn)を有する。本発明の組成物の樹脂の分子量(MwまたはMnのいずれか)は、ゲル浸透クロマトグラフィによって好適に求められる。
多くの実施形態では、樹脂成分は、下地コーティング組成物の主要な固形成分となる。例えば、1つ以上の樹脂は好適に、コーティング組成物の全固形分に基づいて50〜99.9重量パーセント、より典型的にはコーティング組成物の全固形分に基づいて80または85〜95、98、または99+(またはさらに100)重量パーセントで存在してもよい。本明細書において言及されるように、コーティング組成物の固形分は、溶剤担体を除いたコーティング組成物のすべての材料を指す。
ある特定の実施形態では、本発明のコーティング組成物は、グリシジル基を有する樹脂または他の材料に加えて架橋剤を含んでもよい。例えば、コーティング組成物は、例えば、Cytec Industries社によって製造され、Cymel 300、301、303、350、370、380、1116、及び1130の商品名で販売されるメラミン樹脂を含むメラミン材料等のアミン系架橋剤、Cytec Industries社より入手可能なグリコールウリルを含むグリコールウリル、ならびにCytec Industries社からCymel 1123及び1125の名称で入手可能なベンゾクアナミン(benzoquanamine)樹脂等の樹脂を含むベンゾクアナミン及び尿素系材料、ならびにCytec Industries社からPowderlink 1174及び1196の名称で入手可能な尿素樹脂を含んでもよい。市販されていることに加えて、かかるアミン系樹脂は、例えばアクリルアミドまたはメタクリルアミドコポリマーをホルムアルデヒドとアルコール含有溶液内で反応させること、あるいはN−アルコキシメチルアクリルアミドまたはメタクリルアミドを他の好適なモノマーと共重合させることによって調製してもよい。
本発明のコーティング組成物の複数のヘテロ含有置換基のグリシジル基及び/または芳香族基を含有する樹脂は、一般的に、コーティング組成物の全固体(溶剤担体を除くすべての成分)の約5〜100重量パーセント、より典型的にはコーティング組成物の全固体(溶剤担体を除くすべての成分)の少なくとも約20、30、40、50、60、70、80、90、または100重量パーセントの量で存在する。
コーティング組成物が、1)グリシジル基を含む第1のポリマー、ならびに2)複数のヒドロキシル、チオール、及び/またはアミン基を有する芳香族基を含む第2のポリマー(第1のポリマーとは異なる)を含有する場合、各々のそのようなポリマーは、好適には、コーティング組成物の全固体(溶剤担体を除くすべての成分)の約5〜95重量パーセント、より典型的にはコーティング組成物の全固体(溶剤担体を除くすべての成分)の少なくとも約10、20、30、40、50、60、70、80、または90重量パーセントの量で存在する。
本発明の好ましいコーティング組成物はまた、熱酸発生剤化合物を含有してもよい。熱酸発生剤の活性化によるコーティング組成物の熱誘起架橋が一般的に好まれる。
コーティング組成物に用いるための好適な熱酸発生剤化合物は、反射防止組成物コーティング層の硬化中に触媒作用を及ぼすまたは架橋を促進するために、例えばアレーンスルホン酸アンモニウム塩(例えば、トルエンスルホン酸アンモニウム塩)といったイオン性もしくは実質的に中性の熱酸発生剤を含む。典型的に、1つ以上の熱酸発生剤は、組成物の全乾燥成分(溶剤担体を除くすべての成分)の約0.1〜10重量パーセントの濃度、より好ましくは全乾燥成分の約0.5〜2重量パーセントで、コーティング組成物に存在する。
本発明の、特に反射制御用途についてのコーティング組成物は、オーバーコートされたフォトレジスト層を露光するために用いられる放射線を吸収する追加の染料化合物をも含有してもよい。他の任意の添加剤には、表面レベリング剤、例えば、商品名Silwet 7604で入手可能なレベリング剤、またはスリーエム社より入手可能な界面活性剤FC 171もしくはFC 431が含まれる。
本発明の下地コーティング組成物もまた、オーバーコートされたフォトレジスト組成物と用いるものとして論じたような光酸発生剤を含む光酸発生剤等の他の材料を含んでもよい。反射防止組成物中の光酸発生剤のかかる使用の論議については、米国特許第6,261,743号を参照されたい。
本発明の液体コーティング組成物を作製するには、コーティング組成物の成分は、例えば、1つ以上のオキシイソ酪酸エステル、特にメチル−2−ヒドロキシイソブチレート、乳酸エチル、または2−メトキシエチルエーテル(ジグリム)、エチレングリコールモノメチルエーテル、及びプロピレングリコールモノメチルエーテル等の1つ以上のグリコールエーテル、メトキシブタノール、エトキシブタノール、メトキシプロパノール、及びエトキシプロパノール等、エーテル及びヒドロキシ部分の両方を有する溶剤、メチル2−ヒドロキシイソブチレート、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコールモノメチルエーテルアセテート、ジプロピレングリコールモノメチルエーテルアセテート等のエステル、ならびに二塩基エステル、プロピレンカルボネート、及びガンマブチロラクトン等の他の溶剤等、好適な溶剤に溶解される。溶剤中の乾燥成分の濃度は、塗布方法等いくつかの要因に依存する。一般的に、下地コーティング組成物の固形分はコーティング組成物の全重量の約0.5〜20重量パーセントで変動し、好ましくは、固形分はコーティング組成物の全重量の約0.5〜10重量パーセントで変動する。
例示的なフォトレジストシステム
下地コーティング組成物と用いるためのフォトレジストは典型的にポリマーと1つ以上の酸発生剤とを含む。一般的に好ましいのはポジ型レジストであり、レジストポリマーはレジスト組成物にアルカリ性の水溶解性を与える官能基を有する。例えば、好まれるのは、ヒドロキシルまたはカルボキシレート等の極性官能基、またはリソグラフィ加工のときにかかる極性部分を遊離させることができる酸不安定基を含む、ポリマーである。好ましくは、ポリマーは、水性アルカリ溶液で現像可能なレジストを行うのに十分な量でレジスト組成物に用いられる。
酸発生剤もまた、フェノールを含む任意に置換されたフェニル、任意に置換されたナフチル、及び任意に置換されたアントラセン等の、芳香族基を含有する繰り返し単位を含むポリマーと好適に用いられる。ポリマーを含有する任意に置換されたフェニル(フェノールを含む)は、EUV及び電子線(e−beam)放射で像形成されるものを含む多くのレジストシステムに特に好適である。ポジ型レジストについては、ポリマーはまた、酸不安定基を含む1つ以上の繰り返し単位を好ましく含有する。例えば、任意に置換されたフェニルまたは他の芳香族基を含有するポリマーの場合では、ポリマーは、アクリレートまたはメタクリレート化合物のモノマーを酸不安定エステルと重合することで形成されるポリマー(例えば、t−ブチルアクリレートまたはt−ブチルメタクリレート)等、1つ以上の酸不安定部分を含有する繰り返し単位を含んでもよい。かかるモノマーは、任意にフェニル等の芳香族基を含む1つ以上の他のモノマー、例えばスチレンまたはビニルフェノールモノマーで共重合されてもよい。
かかるポリマーの形成に用いられる好ましいモノマーは:以下の式(V)を有する酸不安定モノマー、以下の式(VI)のラクトン含有モノマー、アルカリ現像液中の溶解率を調整するための以下の式(VII)の塩基可溶性モノマー、及び以下の式(VIII)の酸発生モノマー、または少なくとも1つの上記のモノマーを含む組み合わせ、を含み、
Figure 2017107185
式中、各Rは独立して、H、F、−CN、C1−10アルキル、またはC1−10フルオロアルキルである。式(V)の酸脱保護モノマーでは、Rは独立して、C1−20アルキル、C3−20シクロアルキル、C6−20アリール、またはC7−20アラルキルであり、各Rは別々であるか、または少なくとも1つのRは隣接するRと結合して環状構造を形成する。式(VI)のラクトン含有モノマーでは、Lは単環、多環、または縮環多環のC4−20ラクトン含有基である。式(VII)の塩基可溶性モノマーでは、Wは12以下のpKaを有する、ハロゲン化または非ハロゲン化、芳香族または非芳香族のC2−50ヒドロキシル含有有機基である。式(VIII)の酸発生モノマーでは、Qはエステル含有または非エステル含有及びフッ素化または非フッ素化であり、C1−20アルキル、C3−20シクロアルキル、C6−20アリール、またはC7−20アラルキル基であり、Aはエステル含有または非エステル含有及びフッ素化または非フッ素化であり、C1−20アルキル、C3−20シクロアルキル、C6−20アリール、またはC7−20アラルキルであり、Zはカルボン酸塩、スルホン酸塩、スルホンアミドのアニオン、またはスルホンイミドのアニオンを含むアニオン性部分であり、Gはスルホニウムまたはヨードニウムカチオンである。
例示的な酸脱保護モノマーは以下を含むがこれらに限定されず、
Figure 2017107185
または上記のうちの少なくとも1つを含む組み合わせを含み、式中、RはH、F、−CN、C1−6アルキル、またはC1−6フルオロアルキルである。
好適なラクトンモノマーは以下の式(IX)のものであってもよく、
Figure 2017107185
式中、RはH、F、−CN、C1−6アルキル、またはC1−6フルオロアルキルであり、RはC1−10アルキル、シクロアルキル、またはヘテロシクロアルキルであり、wは0〜5の整数である。式(IX)では、Rはラクトン環と直接接続するかラクトン環及び/または1つ以上のR基に共有して接続しており、エステル部分はラクトン環に直接、またはRを介して間接的に接続される。
例示的なラクトン含有モノマーは、
Figure 2017107185
を、または少なくとも1つの上述のモノマーを含む組み合わせを含み、式中、RはH、F、−CN、C1−10アルキル、またはC1−10フルオロアルキルである。
好適な塩基可溶性モノマーは以下の式(X)のものであってもよく、
Figure 2017107185
式中、各Rは独立してH、F、−CN、C1−10アルキル、またはC1−10フルオロアルキルであり、Aはヒドロキシル含有または非ヒドロキシル含有、エステル含有または非エステル含有、フッ素化または非フッ素化のC1−20アルキレン、C3−20シクロアルキレン、C6−20アリーレン、またはC7−20アラルキレンであり、xは0〜4の整数であり、xが0であるとき、Aはヒドロキシ含有C6−20アリーレンである。
例示的な塩基可溶性モノマーは以下の構造を有するもの:
Figure 2017107185
または上記のうちの少なくとも1つを含む組み合わせを含み、式中、RはH、F、−CN、C1−6アルキル、またはC1−6フルオロアルキルである。
好ましい酸発生モノマーは、式(XI)または(XII)のものを含み、
Figure 2017107185
式中、各Rは独立して、H、F、−CN、C1−6アルキル、またはC1−6フルオロアルキルであり、Aはフッ素置換されたC1−30アルキレン基、フッ素置換されたC3−30シクロアルキレン基、フッ素置換されたC6−30アリーレン基、またはフッ素置換されたC7−30アルキレン−アリーレン基であり、Gはスルホニウムまたはヨードニウムカチオンである。
好ましくは、式(XI)及び(XII)では、Aは−[(C(RC(=O)O]−C((R(CF−基、またはo−、m−、またはp−置換された−C−基であり、各R及びRはそれぞれ独立してH、F、−CN、C1−6フルオロアルキル、またはC1−6アルキルであり、bは0または1であり、xは1〜10の整数であり、y及びzは独立して0〜10の整数であり、y+zの合計は少なくとも1である。
例示的な好ましい酸発生モノマーは、
Figure 2017107185
を、または上記の少なくとも1つを含む組み合わせを含み、各Rは独立して、H、F、−CN、C1−6アルキル、またはC1−6フルオロアルキルであり、kは好適に0〜5の整数であり、Gはスルホニウムまたはヨードニウムカチオンである。本明細書に様々な式を通して言及されるGは本明細書に開示される酸発生剤であってもよく、オキソ−ジオキソラン部分及び/またはオキソ−ジオキサン部分を含んでもよい。
好ましい酸発生モノマーはスルホニウムまたはヨードニウムを含んでもよい。好ましくは、式(IV)では、Gは式(XIII)のものであり、
Figure 2017107185
式中、XはSまたはIであり、各Rはハロゲン化または非ハロゲン化であり、独立して、C1−30アルキル基、多環または単環のC3−30シクロアルキル基、多環または単環のC4−30アリール基、もしくは上記の少なくとも1つを含む組み合わせであり、XがSであるときに、1つのR基は任意に1つの隣接するR基と単結合で接続され、aは2または3であり、XがIであるときに、aは2である、またはXがSであるときに、aは3である。
例示的な酸発生モノマーは、以下の式を有するものを含む。
Figure 2017107185
本発明のポジ型の化学増幅されたフォトレジストに使用するための酸不安定脱ブロック基を有する具体的な好適なポリマーは、欧州特許出願第0829766A2号(アセタール及びケタールポリマーを有するポリマー)及び欧州特許出願第EP0783136A2号、1)スチレン、2)ヒドロキシスチレン、及び3)酸不安定基、特にアルキルアクリレート酸不安定基の単位を含むターポリマーならびに他のコポリマーに開示されている。
193nm等、200nm未満で像形成されるフォトレジストに用いる追加の好ましい樹脂は、以下の一般的な式(I)、(II)、及び(III)の単位を含む。
193nm等、200nm未満で像形成されるフォトレジストに用いられる好ましい樹脂は、以下の一般的な式(I)、(II)、及び(III)の単位を含み、
Figure 2017107185
式中、Rは(C−C)アルキル基であり、Rは(C−C3)アルキレン基であり、Lはラクトン基であり、nは1または2である。
本発明のフォトレジストに用いるポリマーは、分子量及び多分散度で好適に大きく変動してもよい。好適なポリマーには、約1,000〜約50,000、より典型的には約2,000〜約30,000の重量平均分子量Mwを有し、約3以下の分子量分布、より典型的には約2以下の分子量分布を有するものが含まれる。
本発明の好ましいネガ型組成物は、酸に露出することで硬化する、架橋する、または固まる材料の混合物、及び本明細書に開示される2つ以上の酸発生剤を含む。好ましいネガ型組成物は、フェノール性または非芳香族のポリマー等のポリマーバインダ、架橋剤成分、及び本発明の光活性成分を含む。かかる組成物及びその使用は、欧州特許出願第0164248号、及びThackeray等への米国特許第5,128,232号に開示されている。ポリマーバインダ成分として用いるのに好ましいフェノール性ポリマーには、ノボラック類及び上で論じたもの等のポリ(ビニルフェノール)類を含む。好ましい架橋剤には、メラミン、グリコルリル、ベンゾグアナミン系材料、及び尿素系材料を含むアミン系材料を含む。メラミンホルムアルデヒドポリマーがしばしば特に好適である。かかる架橋剤、例えばメラミンポリマー、グリコルリルポリマー、尿素系ポリマー、及びベンゾグアナミンポリマーは市販されており、例えば、Cytec社によってCymel 301、303、1170、1171、1172、1123、及び1125、ならびにBeetle 60、65、及び80の商品名で販売されるものが挙げられる。
本発明の特に好ましいフォトレジストは液浸リソグラフィ用途に用いられてもよい。例えば、好ましい液浸リソグラフィフォトレジスト及び方法の論議については、Rohm and Haas Electronic Materials社への米国特許第7,968,268号を参照されたい。
本発明のフォトレジストはまた、単一の酸発生剤または異なる酸発生剤の混合物、典型的には2つまたは3つの異なる酸発生剤の混合物、より典型的には合計で2つの異なる酸発生剤からなる混合物を含んでもよい。フォトレジスト組成物は、組成物のコーティング層に活性化放射線への露光後に潜像を生成するのに十分な量で使用される酸発生剤を含む。例えば、酸発生剤は、フォトレジスト組成物の全固形分に基づいて1〜20重量%の量で好適に存在するであろう。
好適な酸発生剤は、化学増幅されたフォトレジストの技術分野において知られており、例えば、トリフェニルスルホニウムトリフルオロメタンスルホネート、(p−tert−ブトキシフェニル)ジフェニルスルホニウムトリフルオロメタンスルホネート、トリス(p−tert−ブトキシフェニル)スルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウムp−トルエンスルホネート等のオニウム塩、2−ニトロベンジル−p−トルエンスルホネート、2,6−ジニトロベンジル−p−トルエンスルホネート、及び2,4−ジニトロベンジル−p−トルエンスルホネート等のニトロベンジル誘導体、1,2,3−トリス(メタンスルホニルオキシ)ベンゼン、1,2,3−トリス(トリフルオロメタンスルホニルオキシ)ベンゼン、及び1,2,3−トリス(p−トルエンスルホニルオキシ)ベンゼン等のスルホン酸エステル、ビス(ベンゼンスルホニル)ジアゾメタン、ビス(p−トルエンスルホニル)ジアゾメタン等のジアゾメタン誘導体、ビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、及びビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム等のグリオキシム誘導体、N−ヒドロキシスクシンイミドメタンスルホン酸エステル、N−ヒドロキシスクシンイミドトリフルオロメタンスルホン酸エステル等のN−ヒドロキシイミド化合物のスルホン酸エステル誘導体、ならびに2−(4−メトキシフェニル)−4,6−ビス(トリクロロメチル)−1,3,5−トリアジン、及び2−(4−メトキシナフチル)−4,6−ビス(トリクロロメチル)−1,3,5−トリアジン等のハロゲン含有トリアジン化合物、を含む。
本明細書において言及されるように、酸発生剤は、EUV放射線、電子線放射線、193nm波長放射線、または他の放射源等の活性化放射線に露出されると、酸を生成することができる。本明細書において言及される酸発生剤化合物は、光酸発生剤化合物とも称され得る。
本発明のフォトレジストはまた、他の材料を含有してもよい。例えば、他の任意の添加剤は、化学及び造影剤、抗ストリエーション(anti−striation)剤、可塑剤、加速剤、及び増感剤を含む。かかる任意の添加剤は、フォトレジスト組成物中に微量濃度で典型的に存在するであろう。
あるいは、または追加で、他の添加剤は、例えば、水酸化物、カルボン酸塩、アミン、イミン、及びアミドに基づくもの等、非光分解性塩基である消光剤を含んでもよい。好ましくは、かかる消光剤はC1−30有機アミン類、イミン類、またはアミド類を含み、または強塩基(例えば、水酸化物またはアルコキシド)もしくは弱塩基(例えば、カルボン酸塩)のC1−30第4級アンモニウム塩であってもよい。例示的な消光剤は、トリプロピルアミン、ドデシルアミン、トリス(2−ヒドロキシプロピル)アミン、テトラキス(2−ヒドロキシプロピル)エチレンジアミン等のアミン類、ジフェニルアミン、トリフェニルアミン、アミノフェノール、及び2−(4−アミノフェニル)−2−(4−ヒドロキシフェニル)プロパン等のアリールアミン類、ジアザビシクロウンデセン(DBU)またはジアザビシクロノネン(DBN)等のヒンダードアミン、またはテトラブチルアンモニウムヒドロキシド(TBAH)またはテトラブチルアンモニウムラクテート等の第4級アルキルアンモニウム塩を含むイオン性消光剤を含む。
界面活性剤はフッ素化及び非フッ素化界面活性剤を含み、好ましくは非イオン性である。例示的なフッ素化非イオン性界面活性剤には、スリーエム社より入手可能なFC−4430及びFC−4432界面活性剤等のパーフルオロC界面活性剤、ならびにOmnova社からのPOLYFOX PF−636、PF−6320、PF−656、及びPF−6520フルオロ界面活性剤等のフルオロジオールが含まれる。
フォトレジストはさらに、フォトレジストに用いられる成分を溶解、分配、及び塗布するのに一般的に好適な溶剤を含む。例示的な溶剤には、アニソール、乳酸エチル、1−メトキシ−2−プロパノール、及び1−エトキシ−2プロパノールを含むアルコール、n−ブチルラクテート、1−メトキシ−2−プロピルアセテート、メトキシエトキシプロピオネート、エトキシエトキシプロピオネートを含むエステル類、シクロヘキサノン及び2−ヘプタノンを含むケトン、ならびに上記溶剤の少なくとも1つを含む組み合わせが含まれる。
リソグラフィ処理
使用において、本発明のコーティング組成物は、スピンコーティング等の多種多様の方法の任意のものによって、コーティング層として基材に塗布される。一般的にコーティング組成物は基材上に、約0.02〜0.5μmの乾燥層厚さ、好ましくは約0.04〜0.20μmの乾燥層厚さで塗布される。基材は好適に、フォトレジストを伴う処理に用いられる任意の基材である。例えば、基材は、ケイ素、二酸化ケイ素、またはアルミニウム−酸化アルミニウムマイクロ電子ウェハであり得る。ガリウムヒ素、炭化ケイ素、セラミック、石英、または銅基材もまた使用してもよい。液晶ディスプレイまたは他のフラットパネルディスプレイ用途のための基材、例えばガラス基材、インジウムスズ酸化物でコーティングされた基材等も、好適に使用される。光学及び光学電子デバイス(例えば、導波管)のための基材もまた、使用可能である。
好ましくは、塗布されたコーティング層は、フォトレジスト組成物が下地コーティング組成物の上に塗布される前に硬化される。硬化条件は下地コーティング組成物の成分によって変化する。特に硬化温度はコーティング組成物に使用される具体的な酸または酸(熱)発生剤に依存する。典型的な硬化条件は約80℃〜225℃で約0.5〜5分間である。硬化条件は、好ましくはコーティング組成物コーティング層を、使用されるフォトレジスト溶剤及び現像液に対して実質的に不溶にする。
かかる硬化後に、フォトレジストは、塗布されたコーティング組成物の表面上に塗布される。底部コーティング組成物層の塗布と同様に、オーバーコートされたフォトレジストは、スピニング、ディッピング、メニスカス、またはローラーコーティング等のいかなる標準的な手段によっても塗布可能である。塗布後、フォトレジストコーティング層は、好ましくはレジスト層が不粘着となるまで、典型的に加熱することで乾燥される。最適には、基本的に底部組成物層とオーバーコートされたフォトレジスト層との混合は発生するべきでない。
レジスト層は次に、従来の様態でマスクを通して、248nm、193nm、またはEUV放射線等の活性化放射線で像形成される。露光エネルギーは、レジストシステムの光活性成分を効果的に活性化してレジストコーティング層にパターニングされた像を生成するのに十分なものである。典型的には、露光エネルギーは約3〜300mJ/cmの範囲内であり、部分的には露光ツール及び使用される特定のレジストならびにレジスト処理に依存する。露光されたレジスト層は、コーティング層の露光と非露光領域の間の溶解度の差を作るか増強することを望む場合は、露光後ベークに供されてもよい。例えば、ネガ型硬化フォトレジストは典型的に、酸で促進された架橋反応を誘起するために露光後加熱を必要とし、多くの化学増幅されたポジ型レジストは酸促進された脱保護反応を誘起するために露光後加熱を必要とする。典型的な露光後ベーク条件には、約50℃以上の温度、より具体的には約50℃〜約160℃の温度が含まれる。
フォトレジスト層はまた、液浸リソグラフィシステム、すなわち、露光ツール(特に映写レンズ)とフォトレジストでコーティングされた基材との間の空間が水または強化された屈折率を有する液体を提供することのできる硫酸セシウム等の添加剤と混合された水等の浸漬液によって占有される部分で露光されてもよい。好ましくは、浸漬液(例えば、水)は気泡の発生を回避するように処理されており、例えば、水はナノバブルの発生を回避するために脱ガスされてもよい。
本明細書中の「液浸露光」または他の類似表現への言及は、露光が、かかる露光ツールとコーティングされたフォトレジスト組成物層との間に介在する液体層(例えば、水または添加剤を有する水)を用いて行われることを示す。
露光されたフォトレジスト層は次に、膜の一部を選択的に除去してフォトレジストパターンを形成することができる好適な現像液で処理される。ネガ型現像プロセスでは、フォトレジスト層の非露光領域は、好適な非極性溶剤で処理することによって選択的に除去することができる。ネガ型現像の好適な手順については、米国特許出願第2011/0294069号を参照されたい。ネガ型現像のための典型的な非極性溶剤は、ケトン、エステル、炭化水素、及びそれらの混合物から選択される溶剤等の有機現像液であり、例えば、アセトン、2−ヘキサノン、2−ヘプタノン、メチルアセテート、ブチルアセテート、及びテトラヒドロフランである。NTDプロセスで用いられるフォトレジスト材料は、好ましくは、有機溶剤現像液でネガ型像を、またはテトラアルキルアンモニウムヒドロキシド溶液等の水性塩基現像液でポジ型像を形成することができる、フォトレジスト層を形成する。好ましくは、NTDフォトレジストは、脱保護されると、カルボン酸基及び/またはヒドロキシル基を形成する酸感応性(脱保護可能)基を有するポリマーに基づく。
あるいは、露光されたフォトレジスト層の現像は、露光された層を膜の露光部分(フォトレジストがポジ型である部分)を選択的に除去するか、または膜の非露光部分(フォトレジストが露光領域において架橋可能な部分、すなわちネガ型)を除去することのできる好適な現像液で処理することによって実現され得る。好ましくは、フォトレジストは脱保護されるとカルボン酸基を形成する酸感応性(脱保護可能)基を有するポリマーに基づいてポジ型であり、現像液は好ましくは金属イオンを含まないテトラアルキルアンモニウムヒドロキシド溶液、例えば、水性0.26Nテトラメチルアンモニウムヒドロキシドである。パターンは現像によって形成される。
現像された基材は次に、フォトレジストがむき出しの基材の領域上で、例えば、従来周知の手順に従ってフォトレジストがむき出しの基材領域を化学的にエッチングするまたはめっきすることで選択的に処理されてもよい。好適なエッチング剤には、フッ素水素酸エッチング溶液及び酵素プラズマエッチング等のプラズマガスエッチングが含まれる。プラズマガスエッチングは下地コーティング層を除去する。
論じたように、ある特定の態様では、ウェットエッチングプロセスを好適に使用してもよい。ウェットエッチングは、好適には、表面(例えば、金属窒化物の表面及び/またはその上のコーティング層)をエッチングするのに有効な時間及び温度での、ウェットエッチング組成物によりエッチングされた表面(例えば、金属窒化物、または1つ以上の有機及び/もしくは無機層でコーティングされた金属窒化物)を露光することによって行われてもよい。例示的なウェットエッチング組成物には、水酸化アンモニウムと過酸化水素等の過酸化物の水性混合物、または硫酸等の酸と過酸化水素等の過酸化物の混合物が含まれる。例示の組成物については、米国特許第US2006/0226122号を参照されたい。続く実施例もまた、例示的なウェットエッチングプロセス条件を提供する。本明細書において言及されるように、「ウェットエッチングプロセス」は、過酸化剤と組み合わせた酸性またはアルカリ性のいずれかであるが、いずれにしても、プラズマドライエッチングとは異なる流体組成物で、隣接しているフォトレジスト(フォトレジスト像の現像後)によって画定される基材領域を処理することを意味する。
以下の非限定的な実施例は本発明を図示する。
概評
以下のポリマーP1〜P9を、続く実施例において説明する。ポリマーP3は、Nisso,Japanから購入され、重量平均分子量Mw=5.3K、PDI=1.1であった。ポリマーP4、P5、P6、及びP7は、Miwon Chemical,Koreaによって供給された。
Figure 2017107185
実施例1〜4:ポリマー合成
実施例1:合成THEIC−TCEICコポリマーP1
Figure 2017107185
THEIC(トリス(2−ヒドロキシエチル)イソ−シアヌレート)(30.43g、116.5mmol)、TCEIC(トリス(2−カルボキシエチル)イソ−シアヌレート)(20.11g、58.2mmol)、n−ブタノール(20.11g、271.5mmol)、p−TSA(p−トルエンスルホン酸)(0.53g、2.8mmol)を、34gのアニソール中に溶解した。混合物を150℃まで加熱し、その温度で3時間維持した。反応混合物を120℃まで冷却し、78gのHBM(2−ヒドロキシイソ酪酸メチルエステル)を添加することにより希釈した。残留モノマーを、過剰なイソプロピルアルコールによる沈殿によって除去して、白色粉末として示されたコポリマーを得た。[重量平均分子量Mw 7k、PDI=1.4、THEIC:TCEIC=66:34モル%、13C−NMRによる]。
実施例2:ポリ(ANTMA−co−HEMA−co−MMA)P2の合成
Figure 2017107185
ポリマー供給溶液は、機械的撹拌機、熱制御装置、温度プローブ、加熱マントル、及び窒素パージ入口(スイープ)を装備した250mlの3口丸底フラスコ中で20.5gのメチルアントラセンメタクリレートモノマー、15.5gの2−ヒドロキシエチルメタクリレートモノマー、14.0gのメチルメタクリレート、及び50gのプロピレングリコールモノメチルエーテルアセテート(PGMEA)を混合することによって調製した。反応混合物を、反応混合物の温度が80℃に達するまで加熱した。次に、10重量%のVazo 67アゾ開始剤(白色粉末、Dupont)を含有する16.15gのPGMEA溶液をこのフラスコに添加した。このフラスコを、反応混合物の温度が90℃に達するまで加熱し、撹拌しながら6時間維持した。加熱を停止し、反応器を40℃まで冷却した。未反応のモノマーを、真空状態で排除し、得られたポリマー溶液を、PGMEAを用いて17重量%(+/−5重量%)まで希釈した。得られたポリマー溶液は、[数平均分子量Mn 2776、重量平均分子量Mw 8160、PDI 2.9 PDI、ANTMA:HEMA;MMA=20:35:45モル%、13C−NMRによる]を示した。
実施例3:ポリ(ANTMA−co−GMA)P8の合成
Figure 2017107185
250mlの丸底3口フラスコ(反応器)に、75gのPGMEA(溶媒)を充填し、次いで、フラスコをコンデンサに接続し、熱的結合して、重合を通じて溶媒の温度を測定及び制御するための溶媒に達した。反応器内の溶媒の温度を、80℃±1℃に設定した。供給溶液は、250mlのガラス瓶中で22.72gのメチルアントラセンメタクリレートモノマー、27.28gのグリシジルメタクリレートモノマー、1.26gのV−601開始剤(2モル%)、及び75gのプロピレングリコールモノメチルエーテルアセテート(PGMEA)を混合することによって調製し、次いで、それをシャンクして、モノマー及び開始剤を完全に溶解した。次いで、瓶を氷槽に戻した。一旦反応器が設定温度(80℃)に達すると、3.16gのV−601開始剤(5モル%)をフラスコに入れて、約10分間撹拌した。温度が80℃±1℃に達し、供給溶液を反応フラスコに120分間送り込んだ。送り込んだ後、反応器をさらに1時間80℃で維持し、次いで加熱物を取り出し、反応器を撹拌しながら室温まで冷却した。得られたポリマー溶液をメチルアルコール(10倍過剰な反応混合物)で沈殿させ、濾過し、真空乾燥させて、結果としてポリマーを得、これは[重量平均分子量Mw 8.5K、PDI 1.6、ANTMA:GMA=27:73モル%、13C−NMRによる]を示した。
実施例4:修飾後のカテコールポリマー−ポリマーP9の合成
Figure 2017107185
磁気撹拌棒を装備した250mLの丸底フラスコに、ポリ(グリシジルメタクリレート)(10.00g、0.069mol)、3,4−ジヒドロキシ安息香酸(10.71g、0.035mol)、及び乾燥CHCN(210mL)を充填した。この溶液に、撹拌しながら1モル%のテトラブチルアンモニウム臭化物(0.35mmol)を添加し、得られた混合物を75℃まで加熱し、10時間撹拌した。得られた透明な溶液を周囲温度まで冷却し、回転蒸発によって濃縮して、10.24gの粘着性のある透明な液体を得た。得られた粗ポリマーを、THF中に溶解し、冷IPAから沈殿させ、真空下で48時間乾燥させた。カテコール官能性ポリマーP9(重量平均分子量Mw 6.5k)を、収率40%で乾燥白色粉末として単離した。
実施例5〜15及び比較実施例1〜2
BARC組成物は、表1中に示される量で構成成分を混合することによって調製される。この溶液を、0.45ミクロンの細孔径を有するPTFEフィルタを通して濾過して、BARC組成物を得る。表1中では、特定のポリマーP1〜P9は、概評の下で上に記述される構造を有する;PGMEA=プロピレングリコールモノメチルエーテルアセテート;HBM=メチル−2−ヒドロキシイソブチレート;T1=p−トルエンスルホン酸アンモニウム塩;C−1=テトラメトキシメチルグリコウリル、及びF−1=OMNOVA Solutions Incからのフッ素系界面活性剤Polyfox 656。
Figure 2017107185
実施例16:組成例のTiN基材におけるエッチング耐性の試験
BARC組成物を、それぞれ、1500rpmで4cm×4cmのTiNクーポンウェハ上にスピンコートし、次いで、ミニコーティング機を使用して、215℃で1分間ベークした。ベーク後のBARCコーティング厚さは1000Åであった。回転成形したBARC膜を、SC−1混合物として知られているTiNのためのウェットエッチング化学薬品に浸漬するためにウェハ保持具上に置いた。この試験において使用されたSC−1混合物は、NHOH:H:HO=1:4:20の重量比を有する。SC−1混合物中にBARC膜を浸漬した後、SC−1を50℃まで加熱した。ウェットエッチング耐性サンプルは、BARC膜から剥離することなく、これらの条件で長い持続時間を示すが、付着の不良なBARCは短期間で剥離した。SC−1混合物における膜剥離をモニタリングすることによる持続時間は、評価性能として使用された。
Figure 2017107185
比較実施例1及び2は、ArF及びKrFにおいて広範に使用される市販のBARC組成物を示す。それらは、このウェットエッチング耐性評価で不十分な持続時間を示した。カテコールまたはグリシジル含有ポリマー(実施例14及び15対比較実施例1及び2)を用いて、TiN基材におけるウェットエッチングの持続時間は著しく向上した。最良性能は、カテコール及びグリシジル含有ホモポリマーのうちの1つを含むBARC組成物(実施例14、15)よりもむしろカテコール及びグリシジル含有ホモポリマーの組み合わせ(実施例8、9、10、及び11)によって達成された。
実施例17:BARC組成物の光学特性及び溶媒耐性の測定
光学特性及び溶媒耐性について試験した各サンプル溶液を、Siウェハ上にスピンコートし、215℃で60秒間ベークした。Siウェハ上のn/k値及び膜厚さは、偏光解析を使用して測定された。次に、フォトレジスト分野で一般に使用されるPGME/PGMEA=70:30重量%の混合溶液を、BARC膜の表面上に注ぎ、90秒間静置した。次に、ウェハを4000rpmで60秒間スピン乾燥させた。添加後、溶媒除去のために、110℃で60秒間ベークする。最終厚さと初期厚さとの差を溶媒ストリップ値として報告する。
Figure 2017107185
実施例18−リソグラフィ処理
実施例8及び10のBARC組成物を、それぞれ、1500rpmで150mmのシリコンウェハ上にスピンコートし、次いで、TEL ACT 8ウェハコーティング追跡機を使用して、215℃で1分間ベークした。ベーク後のBARCコーティング厚さは1000Åであった。Dow EPIC2150フォトレジストを、BARCコーティング上にスピンコートし、125℃で60秒間ベークして、厚さ170nmのフォトレジスト層を得た。次に、フォトレジストを、0.78NAでNikon 306C 193nmのArFスキャナーを使用して標的マスクを通して露光した。フォトレジスト層を105℃で60秒間、露光後ベークして、続いて、標準の60秒間のシングルパドルプロセスにおいてDow MF(商標)CD−26 TMAH現像機を使用して現像した。走査電子顕微鏡法を、150,000倍率で、フォトレジストのパターンプロファイルの品質を試験するために行った。
実施例12及び13のBARC組成物を用いたBARCコーティングは、KrFリソグラフィのために実施例8及び10のBARC組成物を用いたのと同じ条件下で調製された。市販のDow UV(商標)1610 DUVフォトレジストを、BARCコーティング上にスピンコートし、100℃で60秒間ベークして、厚さ280nmのフォトレジスト層を得た。次に、フォトレジストを、0.65NAで248nmのKrFウェハステッパーを使用して標的マスクを通して露光した。次に、フォトレジスト層を120℃で60秒間、露光後ベークして、続いて、標準の60秒間のシングルパドルプロセスにおいてDow MF(商標)CD−26 TMAH現像機を使用して現像した。走査電子顕微鏡法を、80,000倍率で、フォトレジストのパターンプロファイルの品質を試験するために行った。実施例8、10、12、及び13のBARC組成物は、基準材料を用いたArF及びKrFの両方で良好なリソグラフィ性能を示した。

Claims (10)

  1. フォトレジストレリーフ像を形成するための方法であって、
    a)基材上に、
    1)1つ以上のグリシジル基と、
    2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む1つ以上の材料を含むコーティング組成物の層を塗布することと、
    b)前記コーティング組成物層の上にフォトレジスト組成物の層を塗布することと、を含む、方法。
  2. 前記コーティング組成物が、1)1つ以上のグリシジル基を含む第1の樹脂と、2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基を含む第2の樹脂と、を含む、請求項1に記載の方法。
  3. 前記コーティング組成物が、1)1つ以上のグリシジル基、ならびに2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基の両方を含む樹脂を含む、請求項1に記載の方法。
  4. 前記1つ以上の芳香族基が、カテコール部分を含む、請求項1〜3のいずれか1項に記載の方法。
  5. 前記フォトレジスト組成物が、活性化放射線で像形成され、前記像形成されたフォトレジスト組成物層が、現像されてフォトレジストレリーフ像を提供する、請求項1〜4のいずれか1項に記載の方法。
  6. フォトレジストレリーフ像が、ウェットエッチングプロセスによって基材に転写される、請求項1〜5のいずれか1項に記載の方法。
  7. カテコール部分を含む樹脂を生成するための方法であって、
    1)樹脂と、2)反応部分を含むカテコール化合物とを反応させることを含む、方法。
  8. 請求項7に記載の方法によって得られる、ポリマー。
  9. コーティングされた基材であって、
    基材を備え、その上に、
    a)
    1)1つ以上のグリシジル基と、
    2)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む1つ以上の材料を含むコーティング組成物と、
    b)前記コーティング組成物層の上にフォトレジスト組成物の層と、を有する、基材。
  10. オーバーコートされたフォトレジスト組成物と共に用いるためのコーティング組成物であって、
    (a)1つ以上のグリシジル基と、
    (b)各々が、ヒドロキシ、チオール、及び/またはアミン部分を含む2つ以上の置換基を含む1つ以上の芳香族基と、を含む1つ以上の樹脂を含む、コーティング組成物。
JP2016220509A 2015-11-30 2016-11-11 オーバーコートされたフォトレジストと共に用いるためのコーティング組成物 Active JP6509797B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562261275P 2015-11-30 2015-11-30
US62/261,275 2015-11-30

Publications (2)

Publication Number Publication Date
JP2017107185A true JP2017107185A (ja) 2017-06-15
JP6509797B2 JP6509797B2 (ja) 2019-05-08

Family

ID=58777439

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016220509A Active JP6509797B2 (ja) 2015-11-30 2016-11-11 オーバーコートされたフォトレジストと共に用いるためのコーティング組成物

Country Status (5)

Country Link
US (1) US10527942B2 (ja)
JP (1) JP6509797B2 (ja)
KR (1) KR101909884B1 (ja)
CN (1) CN106814543B (ja)
TW (1) TWI662370B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017191767A1 (ja) * 2016-05-02 2017-11-09 日産化学工業株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法
WO2020026834A1 (ja) * 2018-07-31 2020-02-06 日産化学株式会社 レジスト下層膜形成組成物
JP2020042260A (ja) * 2018-09-06 2020-03-19 三星エスディアイ株式会社Samsung SDI Co., Ltd. レジスト下層膜用組成物およびこれを用いたパターン形成方法
KR20200092952A (ko) 2017-12-22 2020-08-04 닛산 가가쿠 가부시키가이샤 디올구조를 갖는 보호막형성 조성물
KR20200092957A (ko) 2017-12-22 2020-08-04 닛산 가가쿠 가부시키가이샤 아세탈구조를 갖는 보호막형성 조성물
KR20210016274A (ko) * 2019-08-02 2021-02-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 접착력이 향상된 반도체 구조물의 패터닝 공정
KR20210118061A (ko) 2019-01-21 2021-09-29 닛산 가가쿠 가부시키가이샤 아세탈구조 및 아미드구조를 갖는 보호막형성 조성물
JP2021155573A (ja) * 2020-03-27 2021-10-07 積水化成品工業株式会社 少なくとも一組の隣接水酸基により置換されたベンゼン環を側鎖に有するポリマーの製造方法
WO2023008355A1 (ja) * 2021-07-30 2023-02-02 三菱瓦斯化学株式会社 レジスト補助膜組成物、及び該組成物を用いたパターンの形成方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102482878B1 (ko) * 2017-09-26 2022-12-29 삼성전자 주식회사 집적회로 소자의 제조 방법
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
US11567408B2 (en) * 2019-10-15 2023-01-31 Rohm And Haas Electronic Materials Korea Ltd. Coating composition for use with an overcoated photoresist
CN114085331B (zh) * 2021-12-01 2024-05-28 长兴化学工业(中国)有限公司 一种贻贝仿生改性的丙烯酸杂化醇酸树脂及其制备方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635201A (ja) * 1992-07-17 1994-02-10 Tokyo Ohka Kogyo Co Ltd リソグラフィー用下地材及びそれを用いたパターン形成方法
JP2001083696A (ja) * 1999-07-30 2001-03-30 Hyundai Electronics Ind Co Ltd 反射防止用重合体とその製造方法、反射防止膜組成物、パターン形成方法および半導体素子
JP2001215727A (ja) * 2000-02-04 2001-08-10 Fuji Photo Film Co Ltd レジスト積層物
JP2004094029A (ja) * 2002-09-02 2004-03-25 Fuji Photo Film Co Ltd 多層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
WO2004090640A1 (ja) * 2003-04-02 2004-10-21 Nissan Chemical Industries, Ltd. エポキシ化合物及びカルボン酸化合物を含むリソグラフィー用下層膜形成組成物
JP2008197624A (ja) * 1999-03-15 2008-08-28 Hynix Semiconductor Inc 反射防止膜用組成物、反射防止膜の製造方法、および半導体素子
JP2009098639A (ja) * 2007-09-28 2009-05-07 Shin Etsu Chem Co Ltd 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
WO2009119201A1 (ja) * 2008-03-28 2009-10-01 Jsr株式会社 レジスト下層膜及びレジスト下層膜形成用組成物並びにレジスト下層膜形成方法
JP2010015112A (ja) * 2008-07-07 2010-01-21 Jsr Corp 多層レジストプロセス用下層膜形成組成物
JP2012247777A (ja) * 2011-05-27 2012-12-13 Shin Etsu Chem Co Ltd 近赤外光吸収膜形成材料及び近赤外光吸収膜を有する積層膜
JP2015018223A (ja) * 2013-06-11 2015-01-29 信越化学工業株式会社 下層膜材料及びパターン形成方法
WO2015030060A1 (ja) * 2013-08-28 2015-03-05 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
JP2015517126A (ja) * 2012-04-23 2015-06-18 ブルーワー サイエンス アイ エヌシー. 感光性、現像液可溶性の底面反射防止膜材料

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
KR100310252B1 (ko) * 1999-06-22 2001-11-14 박종섭 유기 반사방지 중합체 및 그의 제조방법
US6444408B1 (en) 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
KR100721182B1 (ko) * 2000-06-30 2007-05-23 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
JP2003226982A (ja) * 2001-11-29 2003-08-15 Kansai Paint Co Ltd 金属材料用表面処理組成物
US6846612B2 (en) 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
JP4215647B2 (ja) 2002-02-11 2009-01-28 ブルーワー サイエンス アイ エヌ シー. ハロゲン化反射防止膜
US7657838B2 (en) 2002-10-08 2010-02-02 At&T Intellectual Property I, L.P. Preventing execution of programs that are embedded in email messages
DE60330798D1 (de) 2002-10-09 2010-02-11 Nissan Chemical Ind Ltd Zusammensetzung zur bildung einer antireflexschicht für die lithographie
EP1850180A4 (en) 2005-01-21 2009-12-30 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING A LAYERING LINEOGRAPHY FILM CONTAINING A CARBOXYL PROTECTED COMPOSITION
JP5557373B2 (ja) * 2006-11-21 2014-07-23 アボット ラボラトリーズ 薬剤溶出性コーティングにおけるテトラフルオロエチレン、ヘキサフルオロプロピレン、及びフッ化ビニリデンのターポリマーの使用
JP5032362B2 (ja) * 2007-03-12 2012-09-26 ローム アンド ハース カンパニー ヒドロキシフェニルアクリレート系モノマーおよびポリマー
US20090035704A1 (en) 2007-08-03 2009-02-05 Hong Zhuang Underlayer Coating Composition Based on a Crosslinkable Polymer
TWI400575B (zh) 2008-10-28 2013-07-01 Shinetsu Chemical Co 光阻劑下層膜形成材料及圖案形成方法
JP5177418B2 (ja) * 2008-12-12 2013-04-03 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP5557559B2 (ja) * 2009-03-11 2014-07-23 住友化学株式会社 化学増幅型ポジ型フォトレジスト組成物、該組成物に用いられる重合体、及び該重合体の構成単位を導く化合物
JP5859420B2 (ja) 2012-01-04 2016-02-10 信越化学工業株式会社 レジスト下層膜材料、レジスト下層膜材料の製造方法、及び前記レジスト下層膜材料を用いたパターン形成方法
SG11201404229YA (en) 2012-01-19 2014-08-28 Brewer Science Inc Nonpolymeric antireflection compositions containing adamantyl groups
JP6206677B2 (ja) 2012-12-14 2017-10-04 日産化学工業株式会社 カルボニル基含有ポリヒドロキシ芳香環ノボラック樹脂を含むレジスト下層膜形成組成物
KR102357731B1 (ko) 2012-12-18 2022-02-08 닛산 가가쿠 가부시키가이샤 다환방향족 비닐화합물을 포함하는 자기조직화막의 하층막 형성조성물

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635201A (ja) * 1992-07-17 1994-02-10 Tokyo Ohka Kogyo Co Ltd リソグラフィー用下地材及びそれを用いたパターン形成方法
JP2008197624A (ja) * 1999-03-15 2008-08-28 Hynix Semiconductor Inc 反射防止膜用組成物、反射防止膜の製造方法、および半導体素子
JP2001083696A (ja) * 1999-07-30 2001-03-30 Hyundai Electronics Ind Co Ltd 反射防止用重合体とその製造方法、反射防止膜組成物、パターン形成方法および半導体素子
JP2001215727A (ja) * 2000-02-04 2001-08-10 Fuji Photo Film Co Ltd レジスト積層物
JP2004094029A (ja) * 2002-09-02 2004-03-25 Fuji Photo Film Co Ltd 多層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
WO2004090640A1 (ja) * 2003-04-02 2004-10-21 Nissan Chemical Industries, Ltd. エポキシ化合物及びカルボン酸化合物を含むリソグラフィー用下層膜形成組成物
JP2009098639A (ja) * 2007-09-28 2009-05-07 Shin Etsu Chem Co Ltd 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
WO2009119201A1 (ja) * 2008-03-28 2009-10-01 Jsr株式会社 レジスト下層膜及びレジスト下層膜形成用組成物並びにレジスト下層膜形成方法
JP2010015112A (ja) * 2008-07-07 2010-01-21 Jsr Corp 多層レジストプロセス用下層膜形成組成物
JP2012247777A (ja) * 2011-05-27 2012-12-13 Shin Etsu Chem Co Ltd 近赤外光吸収膜形成材料及び近赤外光吸収膜を有する積層膜
JP2015517126A (ja) * 2012-04-23 2015-06-18 ブルーワー サイエンス アイ エヌシー. 感光性、現像液可溶性の底面反射防止膜材料
JP2015018223A (ja) * 2013-06-11 2015-01-29 信越化学工業株式会社 下層膜材料及びパターン形成方法
WO2015030060A1 (ja) * 2013-08-28 2015-03-05 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022031348A (ja) * 2016-05-02 2022-02-18 日産化学株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法
JPWO2017191767A1 (ja) * 2016-05-02 2019-03-07 日産化学株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法
JP7486919B2 (ja) 2016-05-02 2024-05-20 日産化学株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法
US11003078B2 (en) 2016-05-02 2021-05-11 Nissan Chemical Corporation Compositions for forming a protective film against basic aqueous hydrogen peroxide solution, and pattern formation method
WO2017191767A1 (ja) * 2016-05-02 2017-11-09 日産化学工業株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法
US11460771B2 (en) 2017-12-22 2022-10-04 Nissan Chemical Corporation Protective film forming composition having an acetal structure
KR20200092952A (ko) 2017-12-22 2020-08-04 닛산 가가쿠 가부시키가이샤 디올구조를 갖는 보호막형성 조성물
KR20200092957A (ko) 2017-12-22 2020-08-04 닛산 가가쿠 가부시키가이샤 아세탈구조를 갖는 보호막형성 조성물
US11768436B2 (en) 2017-12-22 2023-09-26 Nissan Chemical Corporation Protective film forming composition having a diol structure
WO2020026834A1 (ja) * 2018-07-31 2020-02-06 日産化学株式会社 レジスト下層膜形成組成物
JP2020042260A (ja) * 2018-09-06 2020-03-19 三星エスディアイ株式会社Samsung SDI Co., Ltd. レジスト下層膜用組成物およびこれを用いたパターン形成方法
US11385545B2 (en) 2018-09-06 2022-07-12 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition
US11982943B2 (en) 2018-09-06 2024-05-14 Samsung Sdi Co., Ltd. Method of forming patterns using resist underlayer composition
KR20210118061A (ko) 2019-01-21 2021-09-29 닛산 가가쿠 가부시키가이샤 아세탈구조 및 아미드구조를 갖는 보호막형성 조성물
KR102446574B1 (ko) 2019-08-02 2022-09-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 접착력이 향상된 반도체 구조물의 패터닝 공정
KR20210016274A (ko) * 2019-08-02 2021-02-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 접착력이 향상된 반도체 구조물의 패터닝 공정
JP7267968B2 (ja) 2020-03-27 2023-05-02 積水化成品工業株式会社 少なくとも一組の隣接水酸基により置換されたベンゼン環を側鎖に有するポリマーの製造方法
JP2021155573A (ja) * 2020-03-27 2021-10-07 積水化成品工業株式会社 少なくとも一組の隣接水酸基により置換されたベンゼン環を側鎖に有するポリマーの製造方法
WO2023008355A1 (ja) * 2021-07-30 2023-02-02 三菱瓦斯化学株式会社 レジスト補助膜組成物、及び該組成物を用いたパターンの形成方法

Also Published As

Publication number Publication date
US10527942B2 (en) 2020-01-07
KR20170071412A (ko) 2017-06-23
KR101909884B1 (ko) 2018-10-22
TWI662370B (zh) 2019-06-11
CN106814543B (zh) 2021-03-23
US20170153547A1 (en) 2017-06-01
TW201721288A (zh) 2017-06-16
JP6509797B2 (ja) 2019-05-08
CN106814543A (zh) 2017-06-09

Similar Documents

Publication Publication Date Title
JP6509797B2 (ja) オーバーコートされたフォトレジストと共に用いるためのコーティング組成物
JP6586124B2 (ja) オーバーコートされたフォトレジストと共に用いるためのコーティング組成物
JP6751454B2 (ja) オーバーコートされたフォトレジストと共に使用するためのコーティング組成物
CN109541886B (zh) 抗反射组合物及其使用方法和经涂布衬底
CN109725492B (zh) 与光致抗蚀剂一起使用的下层涂料组合物
JP6788639B2 (ja) オーバーコートされたフォトレジストと共に使用するためのコーティング組成物
JP6453378B2 (ja) オーバーコートされたフォトレジストと共に用いるためのコーティング組成物
TWI794186B (zh) 用於與上塗光阻使用之塗佈組成物
JP6525376B2 (ja) オーバーコートされたフォトレジストと共に使用するためのコーティング組成物
JP6637548B2 (ja) オーバーコートされたフォトレジストと共に用いるためのコーティング組成物
KR102355665B1 (ko) 오버코팅된 포토레지스트와 함께 사용하기 위한 코팅 조성물
TWI721304B (zh) 與外塗佈光致抗蝕劑一起使用的塗料組合物

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171026

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190311

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190403

R150 Certificate of patent or registration of utility model

Ref document number: 6509797

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250