JP2015527733A - 集積回路のウェハ裏面の層からの基板貫通ビアの統合 - Google Patents

集積回路のウェハ裏面の層からの基板貫通ビアの統合 Download PDF

Info

Publication number
JP2015527733A
JP2015527733A JP2015521717A JP2015521717A JP2015527733A JP 2015527733 A JP2015527733 A JP 2015527733A JP 2015521717 A JP2015521717 A JP 2015521717A JP 2015521717 A JP2015521717 A JP 2015521717A JP 2015527733 A JP2015527733 A JP 2015527733A
Authority
JP
Japan
Prior art keywords
layer
sti
insulating liner
pad
tsv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015521717A
Other languages
English (en)
Other versions
JP2015527733A5 (ja
JP6049877B2 (ja
Inventor
ヴィディヤ・ラマチャンドラ
シーチュン・グ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2015527733A publication Critical patent/JP2015527733A/ja
Publication of JP2015527733A5 publication Critical patent/JP2015527733A5/ja
Application granted granted Critical
Publication of JP6049877B2 publication Critical patent/JP6049877B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導体ウェハは、半導体ウェハの裏面から作製される統合された基板貫通ビアを有する。この半導体ウェハは、半導体基板と、半導体基板の表面上のシャロートレンチアイソレーション(STI)層パッドとを含む。この半導体ウェハはまた、コンタクトエッチストップ層上に形成される層間誘電体(ILD)層を含み、コンタクトエッチストップ層が、半導体基板の表面上のSTI層パッドからILD層を分離する。この半導体ウェハはさらに、ILD層内の少なくとも1つのコンタクトと結合するためにSTI層パッドおよび半導体基板を貫通して延びる、基板貫通ビアを含む。この基板貫通ビアは、導電性充填材料および側壁絶縁ライナー層を含む。この側壁絶縁ライナー層は、場合によってはSTI層パッドの中に延びるがそれを貫通しない部分を有する。

Description

関連出願の相互参照
本出願は、V.Ramachandranらの名義で2012年7月9日に出願された、米国仮特許出願第61/669,611号の利益を主張し、上記の仮出願の開示は、参照によりその全体が本明細書に明示的に組み込まれる。
本開示は全般に、集積回路(IC)に関する。より詳細には、本開示は、ウェハ裏面から作製された基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに統合することに関する。
集積回路(IC)の半導体製造のプロセスフローは、基板工程(FEOL:front−end−of−line)プロセス、中間工程(MOL:middle−of−line)プロセス、および配線工程(BEOL:back−end−of−line)プロセスを含み得る。FEOLプロセスは、ウェハ作製、絶縁、ウェル形成、ゲートパターニング、スペーサ、エクステンションおよびソース/ドレイン注入、シリサイド形成、ならびにデュアルストレスライナー形成を含み得る。MOLプロセスは、ゲートコンタクト形成を含み得る。中間工程層は、半導体デバイストランジスタまたは他の同様の能動デバイスに近接したMOLコンタクト、ビア、または他の層を含み得るが、これらに限定されない。BEOLプロセスは、FEOLおよびMOLプロセス中に作製された半導体デバイスを相互接続するための一連のウェハ加工ステップを含み得る。現代の半導体チップ製品の製造の成功には、採用される材料とプロセスの間の相互作用が必要である。
TSV(基板貫通ビア)は一般に、集積回路(IC)デバイスの表側の能動デバイスに接続するために使用される。TSVは、MOL部品(たとえば、MOLコンタクトおよびビア)と同じレベルで共存する。しかしながら、TSVの製造は、45ナノメートル(nm)を下回るノードサイズのスケーリングでは、様々な問題を生じさせる。MOLデバイスの外形は10ナノメートル(nm)のオーダーであるが、TSVはマイクロメートル(um)のオーダーである。その結果、TSVの製造プロセスにおける小さな変動が、MOLデバイスを損傷させ得る。
本開示の一態様によれば、半導体ウェハの裏面から作製された統合された基板貫通ビアを有する半導体ウェハが説明される。この半導体ウェハは、半導体基板と、半導体基板の表面上のシャロートレンチアイソレーション(STI)層パッドとを含む。この半導体ウェハはまた、コンタクトエッチストップ層上に形成される層間誘電体(ILD)層を含み、コンタクトエッチストップ層が、半導体基板の表面上のSTI層パッドからILD層を分離する。この半導体ウェハはさらに、ILD層内の少なくとも1つのコンタクトと結合するためにSTI層パッドおよび半導体基板を貫通して延びる、基板貫通ビアを含む。この基板貫通ビアは、導電性充填材料および側壁絶縁ライナー層を含む。この側壁絶縁ライナー層は、場合によってはSTI層パッドの中に延びるがそれを貫通しない部分を有する。
本開示の別の態様によれば、ウェハ裏面からの基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに統合するための方法が説明される。この方法は、半導体基板の中へと基板貫通ビア(TSV)キャビティをエッチングするステップを含む。TSVキャビティは、半導体基板内のシャロートレンチアイソレーション(STI)層パッドに延び得る。この方法はまた、STI層パッドを貫通して相互接続子/コンタクト上の膜までエッチングするステップを含む。この方法はさらに、TSVキャビティ内に絶縁ライナー層を堆積させるステップを含む。絶縁ライナー層は、相互接続子/コンタクト上の膜の材料とは異なる材料を含み得る。この方法はまた、相互接続子/コンタクト上の膜および絶縁ライナー層の一部分を貫通するようにエッチングして、相互接続子/コンタクトを露出させるステップを含む。
本開示のさらなる態様によれば、半導体ウェハの裏面から作製された統合された基板貫通ビアを有する半導体ウェハが説明される。この半導体ウェハは、半導体基板と、半導体基板の表面上のシャロートレンチアイソレーション(STI)層パッドとを含む。この半導体ウェハはまた、コンタクトエッチストップ層上に形成される層間誘電体(ILD)層を含み、コンタクトエッチストップ層が、半導体基板の表面上のSTI層パッドからILD層を分離する。この半導体ウェハはさらに、基板を貫通する導通のための手段を含む。この導通手段は、ILD層内の少なくとも1つのコンタクトと結合するために、STI層パッドおよび半導体基板を貫通して延びる。この導通手段は、導通手段の側壁を絶縁するための手段を含み得る。この絶縁手段は、場合によってはSTI層パッドの中に延びるがそれを貫通しない部分を有する。
上記は、以下の発明を実施するための形態がより良く理解され得るように、本開示の特徴および技術的な利点を、かなり大まかに概説したものである。本開示のさらなる特徴および利点は、以下で説明される。本開示と同じ目的を実行するための他の構造を修正または設計するための基礎として、本開示が容易に利用され得ることを当業者は諒解されたい。そのような等価な構成は、添付の特許請求の範囲に記載される本開示の教示から逸脱しないことも当業者は認識されたい。機構と動作方法の両方に関して本開示の特性であると考えられる新規の特徴は、添付の図面と併せて考慮されれば、さらなる目的および利点とともに、以下の説明からより良く理解されよう。しかしながら、図面の各々は例示および説明のみを目的として提供され、本開示の範囲を規定するものとして意図されないことを明確に理解されたい。
本開示のより完全な理解のために、ここで、添付の図面と併せて以下の説明を参照する。
本開示の一態様による、配線層の中央部の中の能動デバイスのためのエッチストップ層を含む集積回路(IC)デバイスを示す、断面図である。 本開示の一態様による、配線層の中央部の中の能動デバイスのためのエッチストップ層を含む集積回路(IC)デバイスを示す、断面図である。 本開示の一態様による、裏面絶縁層と、シャロートレンチアイソレーション(STI)層パッドの内部で止まる裏面基板貫通ビア(TSV)キャビティとを含む、図1BのICデバイスを示す断面図である。 本開示の一態様による、TSVキャビティの中および裏面絶縁層の上への絶縁ライナーの堆積を示す、図2のICデバイスの断面図である。 本開示の一態様による、水平方向の表面上の絶縁ライナー膜を除去して側壁ライナーを形成することを示す、図3のICデバイスの断面図である。 本開示の一態様による、配線層の中央部の中の能動デバイスからのコンタクトおよび/または局所的な相互接続子を露出させるための、シャロートレンチアイソレーション層の制御された選択的なエッチングの後の、図4のICデバイスを示す断面図である。 本開示の一態様による、TSVバリアシードプロセスの後の、図5のICデバイスを示す断面図である。 本開示の一態様による、裏面TSVを形成するための電気化学堆積および銅化学機械研磨(CMP)プロセスの後の、図6のICデバイスを示す断面図である。 本開示の一態様による、任意選択の再配線層(RDL)プロセスの一部としてのバリアシード層の上へのレジストの形成を示す、図6のICデバイスを示す断面図である。 本開示の一態様による、裏面TSVを形成するための電気化学堆積および銅化学機械研磨(CMP)プロセスの後の、図8のICデバイスを示す断面図である。 本開示の一態様による、完成した裏面TSVおよびRDL層を示す、図9のICデバイスの断面図である。 本開示の一態様による、バリアシード層を伴わない完成した裏面TSVおよびRDL層を示す、図10のICデバイスの断面図である。 本開示の一態様による、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに統合するための方法を示すブロック図である。 本開示の一態様による、STI層パッドで止まる裏面TSVキャビティの形成を可能にするためのレジストを含む、図1AのICデバイスを示す断面図である。 本開示の一態様による、STI層パッドで止まる裏面TSVキャビティを含む、図13のICデバイスを示す断面図である。 本開示の一態様による、配線層の中央部の能動デバイスからのコンタクトおよび/または局所的な相互接続子を露出させるための、STI層パッドの制御された選択的なエッチングの後の、図14のICデバイスを示す断面図である。 本開示の一態様による、TSVキャビティの中および半導体基板の裏面への絶縁ライナーの堆積を示す、図15のICデバイスの断面図である。 本開示の一態様による、TSVキャビティ内の側壁絶縁ライナーを保護するための絶縁ライナー上のレジストを示す、図16のICデバイスの断面図である。 本開示の一態様による、配線層の中央部の能動デバイスからのコンタクトおよび/または局所的な相互接続子を露出させるためのTSVキャビティの底部にある絶縁ライナーの無方向性エッチングの後の、図17のICデバイスを示す断面図である。 本開示の一態様による、TSVキャビティを充填し半導体基板の裏面に配置される絶縁ライナーの堆積を示す、図15のICデバイスの断面図である。 本開示の一態様による、配線層の中央部の能動デバイスからのコンタクトおよび/または局所的な相互接続子を露出させるためのTSVキャビティの底部にある絶縁ライナーの無方向性エッチングの前の、絶縁ライナー上のレジストを示す、図19のICデバイスの断面図である。 本開示の一態様による、配線層の中央部の能動デバイスからのコンタクトおよび/または局所的な相互接続子を露出させるためのTSVキャビティ内の絶縁ライナーの無方向性エッチングの後の、図20のICデバイスを示す断面図である。 本開示の一態様による、TSVバリアシードプロセスの後の、図21のICデバイスを示す断面図である。 本開示の一態様による、任意選択の再配線層(RDL)プロセスの一部としてのバリアシード層の上へのレジストの形成を示す、図22のICデバイスを示す断面図である。 本開示の一態様による、裏面TSVを形成するための電気化学堆積および銅化学機械研磨(CMP)プロセスの後の、図23のICデバイスを示す断面図である。 本開示の一態様による、完成した裏面TSVおよびRDL層を示す、図24のICデバイスの断面図である。 本開示の一態様による、パッシベーション層を含む完成した裏面TSVおよびRDL層を示す、図25のICデバイスの断面図である。 本開示の構成が有利に採用され得るワイヤレス通信システムを示すブロック図である。
添付の図面に関する下記の詳細な説明は、様々な構成の説明として意図されており、本明細書で説明される概念が実行され得る唯一の構成を表すことは意図されていない。詳細な説明は、様々な概念の完全な理解をもたらす目的で、具体的な詳細を含んでいる。しかしながら、これらの概念はこれらの具体的な詳細がなくても実行され得ることが、当業者には明らかであろう。場合によっては、そのような概念を曖昧にするのを回避する目的で、周知の構造およびコンポーネントがブロック図の形式で示されている。本明細書の説明では、「および/または」という用語の使用は、「包含的論理和」を表すことを意図し、「または」という用語の使用は、「排他的論理和」を表すことを意図する。
本開示の様々な態様は、ウェハ裏面の基板貫通ビア(TSV)を集積回路(IC)中の配線層の中央部と統合するための技法を提供する。集積回路(IC)の半導体製造のプロセスフローは、基板工程(FEOL)プロセス、中間工程(MOL)プロセス、および配線工程(BEOL)プロセスを含み得る。「層」という用語は、膜を含み、別段述べられていない限り、縦または横の厚さを示すものと解釈されるべきではないことが理解されるだろう。本開示の一態様によれば、TSVは、表側のデバイス製造プロセスからTSVプロセスを切り離すために、ウェハ裏面から製造される。一構成では、TSVキャビティ内の側壁ライナー絶縁層は、半導体ウェハのシャロートレンチアイソレーション(STI)層の中へと延びる。本明細書で説明されるように、「半導体基板」という用語は、ダイシングされたウェハの基板を指すことがあり、または、ダイシングされていないウェハの基板を指すことがある。同様に、ウェハおよびダイという用語は、それが軽信を強いない限り(unless such interchanging would tax credulity)、交換可能に使用され得る。
一構成では、側壁ライナー絶縁層は、STI層パッドおよび/またはMOL相互接続層の局所的な相互接続子(コンタクト)の膜とは異なる化学的な材料特性を示す。この構成は、MOL相互接続層内のいずれの能動デバイスも損傷させることなく局所的な相互接続子を露出させるための制御されたエッチングを可能にするための、改善された選択性を実現する。側壁ライナー絶縁層の化学的な材料特性がSTI層パッドとは異なるこの構成では、ライナー絶縁層はSTI層パッドを貫通するようにエッチングする前に堆積される。側壁ライナー絶縁層の化学的な材料特性がMOL相互接続層の局所的な相互接続子の膜とは異なるある構成では、側壁ライナー絶縁層はSTI層パッドのエッチングの後に製造される。ウェハ裏面TSVは、MOL相互接続層の局所的な相互接続子に接触するように形成される。別の構成では、ウェハ裏面TSVは、たとえば、MOL相互接続層の局所的な相互接続子への接続を提供するための、再配線層を含む。
図1Aは、本開示の一態様による、能動デバイス112〜116を含む集積回路(IC)デバイス100を示す断面図を示す。典型的には、ICデバイス100は、シャロートレンチアイソレーション(STI)領域106およびSTI層パッド130を有する半導体基板(たとえば、シリコンウェハ)102を含む。層間誘電体(ILD)層108は、半導体基板102のSTI領域106およびSTI層パッド130上に配置される。能動デバイス(たとえば、トランジスタ)112〜116を含むFEOL層110も設けられる。MOL相互接続層120も設けられる。ILD層108は、FEOL層110の能動デバイス112〜116、ならびに、MOL相互接続層120の導電素子(たとえば、ビア)122〜126および相互接続子(コンタクト)121と128を保護する。この構成では、ILD層108は、MOL相互接続層120の導電素子122〜126間の短絡を防止するために酸化ケイ素または他の同様の材料で形成される。代替的な構成では、ILD層108は低誘電率誘電体または他の同様の材料である。
図1Bは、半導体基板102とは反対側の、ILD層108の最上面に取り付けられたキャリア基板104を含む、ICデバイス100を示す。この構成では、コンタクトエッチストップ層132が、ILD層108と半導体基板102との間に設けられる。コンタクトエッチストップ層は、たとえば、能動デバイス層(たとえば、FEOL層110)からのコンタクトを露出させるための湿式化学プロセスを制御するために使用される、薄膜の層であり得る。コンタクトエッチストップ層132は、炭化ケイ素、窒化ケイ素、または他の同様の保護材料で形成され得る。図1Aおよび図1Bにさらに示されるように、MOL相互接続層120は、ILD層108内に形成される局所的な相互接続子(コンタクト)140を含む。この構成では、ICデバイス100はTSVを伴わずに製造されるが、TSV設置エリアを設けるためにサイズが大きくされていることがあるSTI層パッド130を含む。図2に示されるように、半導体基板102は、TSVキャビティのエッチングの準備において、厚みが20ミクロンから150ミクロンの範囲となるように薄くされる。
図2は、裏面絶縁層260と、半導体基板102の裏面を貫通して形成されたTSVキャビティ250とを含む、図1BのICデバイスを示す断面図200を示す。典型的には、TSVキャビティ250はSTI層パッド130の内部で止まる。この構成では、裏面絶縁層260は、半導体基板102の最上面に形成される。裏面絶縁層260は、炭化ケイ素、窒化ケイ素、酸化ケイ素、または他の同様の保護材料で形成され得る。裏面絶縁層260の形成に続き、TSVキャビティ250が、表側の能動デバイスと揃いSTI層パッド130の内部で止まるように、パターニングされエッチングされる。この構成では、TSVキャビティ250のサイズは1マイクロメートル(μm)〜20マイクロメートルのオーダーである。
図3は、本開示の一態様による、TSVキャビティ250の中および裏面絶縁層260の上への絶縁ライナー層370の堆積を示す、図2のICデバイスの断面図300を示す。この構成では、エッチングおよび/またはリソグラフィプロセスが、半導体基板102およびSTI層パッド130の一部分を貫通するようにエッチングして、TSVキャビティ250を形成する。エッチングが完了した後、ライナー絶縁堆積が、裏面絶縁層260、側壁、およびTSVキャビティ250の底部の上に、絶縁ライナー層370を形成する。絶縁ライナー層370は、ポリマー、酸化ケイ素、窒化ケイ素、または酸化膜を形成するための他の同様の前駆体の層で形成され得る。例示的な構成では、絶縁ライナー層370は1/4ミクロンの厚さを有し得る。
MOL相互接続層のコンタクト膜を露出させるために方向性(たとえば、スペーサ状)エッチングが使用される、複数の異なる構成が企図される。側壁ライナー絶縁層の化学的な材料特性はSTI層パッドとは異なる、図4〜図12で説明される本開示の態様では、ライナー絶縁層はSTI層パッドのエッチングの前に製造される。側壁ライナー絶縁層の化学的な材料特性がMOL相互接続層の局所的な相互接続子の膜とは異なる、図13〜図26に示される、ライナー開口リソグラフィを伴う本開示の態様では、側壁ライナー絶縁層はSTI層パッドのエッチングの後に製造される。
図4は、本開示の一態様による、絶縁ライナー層370の除去が側壁絶縁ライナー層470を形成する第1の構成を示す、断面図400を示す。絶縁ライナー層370は、TSVキャビティ250の底部および裏面絶縁層260から除去され得る。絶縁ライナー層370の除去は、側壁絶縁ライナー層470の形成を可能にする。本開示の一態様では、側壁絶縁ライナー層470は、STI層パッド130とは異なる化学的な材料特性を示す。この構成は、半導体基板102を貫通し、場合によってはSTI層パッド130に入るがそれを貫通しない、制御された方向性エッチングを可能にする。すなわち、側壁絶縁層470の異なる化学的な材料特性は、STI層パッド130を貫通するようにエッチングすることなくSTI層パッド130を露出させるための制御されたエッチングを可能にする。
STI層パッド130はまた、MOL相互接続層120の局所的な相互接続子(コンタクト)140の膜とは異なる化学的な材料特性を示し得る。この構成は、MOL相互接続層120の局所的な相互接続子140を露出させるための制御された方向性エッチングを可能にするための、改善された選択性を実現する。側壁絶縁ライナー層470の化学的な材料特性がSTI層パッド130とは異なる構成では、側壁絶縁ライナー層470はSTI層パッド130のエッチングの前に製造される。側壁絶縁ライナー層470の化学的な材料特性がMOL相互接続層120の局所的な相互接続子140の膜とは異なるある構成では、側壁絶縁ライナー層470はSTI層パッド130のエッチングの後に製造される(図13から図26を参照されたい)。この構成は、材料のエッチングが指向的に実行され得ない状況のための、追加のリソグラフィプロセスを含む。
図5は、本開示の一態様による、MOL相互接続層120内の能動デバイスからの局所的な相互接続子140を露出させるための、STI層パッド130の制御された選択的なエッチングの後の、図4のICデバイスを示す断面図500を示す。図5に示されるように、方向性反応性イオンエッチング(DRIE)が、TSVキャビティ250の底部で行われる。この構成では、DRIEは、局所的な相互接続子140を露出させるための「ライナー絶縁スペーサエッチング」と呼ばれ得る。側壁絶縁ライナー層470と、STI層パッド130と、コンタクトエッチストップ層132との間の異なるエッチング速度が、コンタクトエッチストップ層132で止まって局所的な相互接続子140を露出させる選択的なエッチングを可能にする。
本開示のこの態様では、局所的な相互接続子140の膜とは異なる、STI層パッド130の化学的な材料特性が、半導体基板102のMOL相互接続層120に対する影響を軽減して、裏面TSVのエッチングに関連する欠陥(すなわち、起こり得るMOL相互接続層120のエッチング)を減らす、方向性スペーサエッチングを可能にする。
図6は、本開示の一態様による、バリアシード層652を形成するためのTSVバリアシード充填プロセスの後の、図5のICデバイスを示す断面図600を示す。図6に示されるように、バリアシード層652は、図7に示されるような裏面TSVの連続的な形成の準備として、充填材料によってTSVキャビティ250を覆う。一構成では、バリアシード層652は、アンダーバンプメタル(UBM)層を提供する。
図7は、本開示の一態様による、裏面TSV780を形成するための電気化学堆積(ECD)および化学機械研磨(CMP)プロセスの後の、図6のICデバイスを示す断面図700を示す。典型的には、裏面TSV780のためのTSVキャビティの形成は、上で論じられたように、半導体基板102のMOL相互接続層120の導電素子、相互接続子、および/またはコンタクトを損傷させることなく局所的な相互接続子140を露出させる、選択的な制御されたエッチングによって事前に形成される。この構成では、裏面TSV780を形成するために、銅などの導電性充填材料にCMPプロセスが加えられる。
図8は、本開示の一態様による、任意選択の再配線層(RDL)プロセスの一部としてのバリアシード層652の上へのレジスト890の形成を示す、図6のICデバイスを示す断面図800を示す。レジスト890は、バリアシード層652上にパターニングされた被覆を形成するためにフォトリソグラフィプロセスの一部として使用される、フォトレジストまたは他の感光材料であり得る。
図9は、本開示の一態様による、裏面TSV980を形成するための電気化学堆積および化学機械研磨(CMP)プロセスの後の、図8のICデバイスを示す断面図900を示す。電気化学堆積およびCMPプロセスはまた、RDL982を形成する。RDL982は、たとえば、MOL相互接続層120の局所的な相互接続子140に対する接続を提供することができ、あるいは、TSVの間の、または導電性の相互接続子(たとえば、導電性のバンプ)もしくは他の同様の相互接続構造物などの他の裏面のコンポーネントの間の、ウェハの裏面での経路を提供することができる。
図10は、本開示の一態様による、レジストの除去の後の裏面TSV980およびRDL層982を示す、図9のICデバイスの断面図1000を示す。一構成では、パッシベーション層(図26を参照されたい)が、RDL982および裏面絶縁層260の上に堆積される。
図11は、本開示の一態様による、バリアシード層を伴わない完成した裏面TSV980およびRDL層982を示す、ICデバイス1100の断面図を示す。この構成では、裏面TSV980がMOL相互接続層120の局所的な相互接続子に直接接触する。
図12は、本開示の一態様による、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに統合するための方法1200を示すブロック図である。ブロック1210において、たとえば図1Bに示されるように、シャロートレンチアイソレーション(STI)層パッドを伴うがTSVを伴わない、ICデバイス基板が提供される。本明細書ではシリコン基板について述べているが、他の基板材料も企図される。ブロック1212において、たとえば図1Bに示されるように、裏面TSVの準備として基板が薄くされ、ICデバイス基板がキャリア上に配置される。
図12を再び参照すると、ブロック1214において、たとえば図2に示されるように、基板に裏面絶縁層を設けるために、低温酸化/窒化ケイ素が堆積される。ブロック1216において、TSVキャビティが、STI層パッドの内部で止まるようにパターニングされエッチングされる。たとえば、図2に示されるように、TSVキャビティ250は、半導体基板102を貫通するように、かつSTI層パッド130の中へと、しかしそれを貫通しないようにエッチングすることによって、形成される。ブロック1218において、たとえば図3に示されるように、低温ライナーがTSVキャビティおよび裏面絶縁層を覆うように堆積される。あるいは、低温ライナーは、たとえば図13〜図26に示されるように、STI層パッドを貫通するようにエッチングした後で堆積される。
たとえば、図4に示されるように、絶縁ライナー層370は、TSVキャビティ250の底部および裏面絶縁層260から除去される。絶縁ライナー層370の除去は、側壁絶縁ライナー層470の形成を可能にする。本開示の一態様では、側壁絶縁ライナー層470は、STI層パッド130の膜および/またはMOL相互接続層120の局所的な相互接続子140とは異なる化学的な材料特性を示し、局所的な相互接続子140を露出させるための制御されたエッチングを可能にするための改善された選択性を実現する。
ブロック1220において、中間工程(MOL)相互接続層からのコンタクトおよび/または局所的な相互接続子を露出させるように、エッチングがSTI層パッドを貫通するように実行される。たとえば、図5に示されるように、側壁絶縁ライナー層470に沿った方向性反応性イオンエッチングは、MOL相互接続層120内の能動デバイスを損傷させることなく局所的な相互接続子140を露出させるための、選択的で制御されたスペーサエッチングを実現する。ブロック1222において、たとえば図6〜図7に示されるように、TSVキャビティ250が導電性材料によって充填される。本明細書では銅充填材について述べているが、他の充填材料も企図される。図9〜図11に示されるように、再配線層(RDL)を含む裏面TSVを設けるために、任意選択のRDLプロセスが実行され得る。ブロック1224において、裏面TSVの化学機械研磨が実行される。あるいは、レジストストリップ/バリアシードオーバーエッチングが実行され得る。
一構成では、ICデバイス1100は、シャロートレンチアイソレーション(STI)層および基板を貫通して延びる、導通のための手段を含む。導通手段は、導電性充填材料を有する。本開示の一態様では、導通手段は、導通手段によって列挙される機能を実行するように構成された、図7および/または図11の裏面基板貫通ビア780/980である。この構成では、ICデバイス1100はまた、部分的にSTI層パッドの中に延びるがそれを貫通しない、導通手段を基板から絶縁するための手段を含む。本開示の一態様では、絶縁手段は、絶縁手段によって列挙される機能を実行するように構成された、図4および図11の側壁絶縁ライナー層470である。別の態様では、上記の手段は、上記の手段によって列挙される機能を実行するように構成されたデバイスまたは任意の層であってよい。
図13は、本開示の一態様による、STI層パッド130で止まる裏面TSVキャビティの形成を可能にするためのレジスト890を含む、図1AのICデバイスを示す断面図1300を示す。上で述べられたように、ライナー開口リソグラフィを伴う本開示の態様が、図13〜図26で示される。本開示のこの態様は、たとえば図2および図5で示される本開示の態様に従って実行される方向性エッチングのように、指向的に材料のエッチングが実行され得ない状況のための、追加のリソグラフィプロセス(たとえば、マスク)を含む。
図14は、本開示の一態様による、STI層パッド130で止まるTSVキャビティ250を含む、図13のICデバイスを示す断面図1400を示す。この構成では、TSVキャビティ250が、表側の能動デバイスと揃いSTI層パッド130で止まるように、パターニングされエッチングされる。この構成では、TSVキャビティ250のサイズは1マイクロメートル(μm)〜20マイクロメートルのオーダーである。
図15は、本開示の一態様による、MOL相互接続層120のコンタクトおよび/または局所的な相互接続子140上の膜(図示されず)を露出させるための、STI層パッド130の制御された無方向性エッチングの後の、図14のICデバイスを示す断面図1500を示す。本開示のこれらの態様では、たとえば図16以降で示されるように、側壁ライナー絶縁層が、STI層パッド130のエッチングの後に製造される。
図16は、本開示の一態様による、TSVキャビティ250の中および半導体基板102の裏面への絶縁ライナー層370の堆積を示す、図15のICデバイスの断面図1600を示す。TSVキャビティ250の中および半導体基板102の裏面に絶縁ライナー層370を堆積させるために、プラズマ堆積が実行され得る。側壁絶縁ライナー層470の化学的な材料特性は、MOL相互接続層120のコンタクトの露出を容易にするために、局所的な相互接続子140の膜とは異なり得る。この構成では、たとえば図15で示されるように、絶縁ライナー層370が、STI層パッド130のエッチングの後に堆積される。
図17は、本開示の一態様による、TSVキャビティ250内の側壁絶縁ライナー層470を保護するための絶縁ライナー層370上のレジスト890を示す、図16のICデバイスの断面図1700を示す。本開示のこの態様では、レジスト890の堆積は、材料のエッチングが指向的に実行され得ない状況のための追加のリソグラフィプロセスである。本開示のこの態様では、レジスト890は、たとえば図18に示されるように、MOL相互接続層120の局所的な相互接続子140を露出させるように実行される無方向性エッチングから、側壁絶縁ライナー層470を保護する。
図18は、本開示の一態様による、MOL相互接続層120の能動デバイスからのコンタクトおよび/または局所的な相互接続子140を露出させるためのTSVキャビティ250の底部にある絶縁ライナー層370および膜(図示されず)の無方向性エッチングの後の、図17のICデバイスを示す断面図1800を示す。この構成では、TSVキャビティ250の底部にある絶縁ライナー層370は、MOL相互接続層120の局所的な相互接続子140の膜とは異なる化学的な材料特性を示す。この構成は、MOL相互接続層120の局所的な相互接続子140を露出させるための制御された無方向性エッチングを可能にするための、改善された選択性を実現する。TSVキャビティ250の底部にある絶縁ライナー層370を開口させてMOL相互接続層120の局所的な相互接続子140を露出させるために、ウェットエッチングも使用され得る。
絶縁ライナー層370を堆積させるための代替的なプロセスが、図19に関して説明される。図19は、本開示の一態様による、TSVキャビティ250を充填し半導体基板102の裏面に配置される絶縁ライナー層370の堆積を示す、図15のICデバイスの断面図1900を示す。この構成では、ポリマースピンオンプロセスが、絶縁ライナー層370によってTSVキャビティ250を充填する。ポリマースピンオンプロセスが図19に関してTSVキャビティを充填するものとして説明されるが、絶縁材料によってTSVキャビティ250を充填する任意の他のプロセスが、同じ結果を得るために使用され得る。絶縁ライナー層370は、ポリマー、酸化ケイ素、窒化ケイ素、または酸化膜を形成するための他の同様の前駆体の層で形成され得る。
図20は、本開示の一態様による、絶縁ライナー層370上のレジスト890を示す、図19のICデバイスの断面図2000を示す。典型的には、レジスト890は、半導体基板102の裏面の絶縁ライナー層370の一部分の上に形成される。たとえば図21に示されるように、MOL相互接続層120の能動デバイスからのコンタクトおよび/または局所的な相互接続子140を露出させるために、TSVキャビティ250内の絶縁ライナー層370の無方向性エッチングが実行され得る。
図21は、本開示の一態様による、TSVキャビティ250内の絶縁ライナー層370の無方向性エッチングの後の、図20のICデバイスを示す断面図2100を示す。この構成では、絶縁ライナー層370の無方向性エッチングが、MOL相互接続層120の局所的な相互接続子140を露出させる。この構成は、局所的な相互接続子140の膜とは異なる、絶縁ライナー層370の化学機械特性により、MOL相互接続層120の局所的な相互接続子140を露出させるための制御された無方向性エッチングを可能にするための、改善された選択性を実現する。TSVキャビティ250内の絶縁ライナー層370を開口させてMOL相互接続層120の局所的な相互接続子140を露出させるために、ウェットエッチングも使用され得る。
図22は、本開示の一態様による、TSVバリアシードプロセスの後の、図21のICデバイスを示す断面図2200を示す。図22に示されるように、TSVバリアシードプロセスは、図23に示されるような裏面TSVの連続的な形成の準備として、充填材料によってTSVキャビティ250を覆う。一構成では、TSVバリアシードプロセスによって堆積される充填材料は、アンダーバンプメタル(UBM)層654を提供する。
図23は、本開示の一態様による、任意選択の再配線層(RDL)プロセスの一部としてのUBM層654の上へのレジスト890の形成を示す、図22のICデバイスを示す断面図2300を示す。レジスト890は、UBM層654上にパターニングされた被覆を形成するためにフォトリソグラフィプロセスの一部として使用される、フォトレジストまたは他の感光材料であり得る。
図24は、本開示の一態様による、裏面TSV980を形成するための電気化学堆積および化学機械研磨(CMP)プロセスの後の、図23のICデバイスを示す断面図を示す。電気化学堆積およびCMPプロセスはまた、RDL982を形成する。RDL982は、たとえばMOL相互接続層120の局所的な相互接続子140への接続を提供することができる。
図25は、本開示の一態様による、裏面TSV980およびRDL層982を示す、レジストの除去の後の図24のICデバイスの断面図2500を示す。この構成は、図11に示される構成と同様であり得る。
図26は、本開示の一態様による、パッシベーション層656を含む、裏面TSV980およびRDL層982を示す、図25のICデバイスの断面図2600を示す。この構成では、パッシベーション層656は、RDL982の上、および半導体基板102の裏面の絶縁ライナー層370の部分の上に堆積される。図14〜図26に従って形成される裏面TSV980は、たとえば図17に示されるような、追加のリソグラフィプロセスを伴う。レジスト890の堆積は、材料のエッチングが指向的に実行され得ない状況のための追加のリソグラフィマスクを伴う。
本開示のこの態様では、レジスト890は、たとえば図18に示されるように、MOL相互接続層120の局所的な相互接続子140を露出させるように実行される無方向性エッチングから、側壁絶縁ライナー層470を保護する。この追加のリソグラフィマスクは、MOL相互接続層120の局所的な相互接続子140を露出させるためのエッチングプロセスを簡単にする。側壁絶縁ライナー層470は保護されているので、MOL相互接続層120の局所的な相互接続子140を露出させるために、無方向性エッチング、ウェットエッチング、または他の同様の無方向性エッチングが使用され得る。
図27は、本開示の一構成が有利に採用され得る例示的なワイヤレス通信システム2700を示すブロック図である。例示のために、図27は、3つの遠隔ユニット2720、2730および2750、ならびに2つの基地局2740を示す。ワイヤレス通信システムがこれよりも多くの遠隔ユニットおよび基地局を有してもよいことが認識されよう。遠隔ユニット2720、2730および2750は、開示される裏面基板貫通ビア(TSV)を含むICデバイス2725A、2725B、および2725Cを含む。基地局、スイッチングデバイス、およびネットワーク機器を含む、ICを含む任意のデバイスも、本明細書で開示される裏面TSVを含み得ることが認識されよう。図27は、基地局2740から遠隔ユニット2720、2730および2750への順方向リンク信号2780、ならびに遠隔ユニット2720、2730および2750から基地局2740への逆方向リンク信号2790を示す。
図27では、遠隔ユニット2720は携帯電話として示され、遠隔ユニット2730はポータブルコンピュータとして示され、遠隔ユニット2750はワイヤレスローカルループシステム内の固定位置の遠隔ユニットとして示される。たとえば、遠隔ユニットは、携帯電話、ハンドヘルドパーソナル通信システム(PCS)ユニット、携帯情報端末などのポータブルデータユニット、GPS対応デバイス、ナビゲーションデバイス、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、メータ読取り機器などの固定位置のデータユニット、またはデータもしくはコンピュータ命令の記憶もしくは取り出しを行う任意の他のデバイス、あるいはそれらの任意の組合せであり得る。図27は、開示される裏面基板貫通ビア(TSV)を含むICデバイス2725A、2725B、および2725Cを示すが、本開示はこれらの例示的な示されるユニットに限定されない。本開示の態様は、裏面TSVを含む任意のデバイスで適切に採用され得る。
ファームウェアおよび/またはソフトウェアの実装形態の場合、これらの方法は、本明細書で説明された機能を実行するモジュール(たとえば、プロシージャ、関数など)で実装され得る。本明細書で説明された方法を実装する際に、命令を有形に具現化する任意の機械可読媒体が使用され得る。たとえば、ソフトウェアコードはメモリに記憶され、プロセッサユニットにより実行され得る。メモリは、プロセッサユニット内で実装されてよく、またはプロセッサユニットの外部で実装されてよい。本明細書で使用される場合、「メモリ」という用語は、長期メモリ、短期メモリ、揮発性メモリ、不揮発性メモリ、または他のメモリのいずれかの種類を指し、メモリのいかなる特定の種類またはメモリのいかなる特定の数にも、あるいはメモリが記憶される媒体のいかなる特定の種類にも限定されない。
本開示およびその利点が詳細に説明されたが、添付の特許請求の範囲によって規定される本開示の技術から逸脱することなく、本明細書において様々な変更、代用および改変が行われ得ることを理解されたい。たとえば、「上」および「下」などの関係性の用語が、基板または電子デバイスに関して使用される。もちろん、基板または電子デバイスが反転した場合、上は下に、下は上になる。加えて、横向きの場合、上および下は、基板または電子デバイスの側面を指す場合がある。さらに、本出願の範囲は、本明細書で説明されたプロセス、機械、製造、物質組成、手段、方法、およびステップの特定の実施形態に限定されるものではない。当業者が本開示から容易に諒解するように、本明細書で説明された対応する実施形態と実質的に同じ機能を実行するか、または実質的に同じ結果を実現する、既存または今後開発されるプロセス、機械、製造、物質組成、手段、方法、またはステップが、本開示に従って利用され得る。したがって、添付の特許請求の範囲は、そのようなプロセス、機械、製造、物質組成、手段、方法、またはステップをそれらの範囲内に含むものとする。
100 ICデバイス
102 半導体基板
104 キャリア基板
106 STI領域
108 ILD層
110 FEOL層
112 能動デバイス
114 能動デバイス
116 能動デバイス
120 MOL相互接続層
121 相互接続子
122 導電素子
123 導電素子
124 導電素子
125 導電素子
126 導電素子
128 相互接続子
130 STI層パッド
132 コンタクトエッチストップ層
140 局所的な相互接続子
200 断面図
250 TSVキャビティ
260 裏面絶縁層
300 断面図
370 絶縁ライナー層
400 断面図
470 側壁絶縁ライナー層、壁側絶縁層、側壁ライナー
500 断面図
600 断面図
652 バリアシード層
654 アンダーバンプメタル層
656 パッシベーション層
700 断面図
780 裏面TSV
800 断面図
890 レジスト
900 断面図
980 裏面TSV
982 RDL
1000 断面図
1100 ICデバイス
1300 断面図
1400 断面図
1500 断面図
1600 断面図
1700 断面図
1800 断面図
1900 断面図
2000 断面図
2100 断面図
2200 断面図
2300 断面図
2500 断面図
2600 断面図
2700 ワイヤレス通信システム
2720 遠隔ユニット
2725A ICデバイス
2725B ICデバイス
2725C ICデバイス
2730 遠隔ユニット
2740 基地局
2750 遠隔ユニット
2780 順方向リンク信号
2790 逆方向リンク信号

Claims (20)

  1. 半導体基板と、
    前記半導体基板の表面上のシャロートレンチアイソレーション(STI)層パッドと、
    コンタクトエッチストップ層上に形成された層間誘電体(ILD)層であって、前記コンタクトエッチストップ層が、前記半導体基板の前記表面上の前記STI層パッドから前記ILD層を分離している、ILD層と、
    前記ILD層内の少なくとも1つのコンタクトと結合するように、前記STI層パッドおよび前記半導体基板を貫通するように延在している基板貫通ビアであって、導電性充填材料および側壁絶縁ライナー層を含み、前記側壁絶縁ライナー層が、場合によっては前記STI層パッドの中に延びるが前記STI層パッドを貫通しない部分を有する、基板貫通ビアとを含む、半導体ウェハ。
  2. 前記側壁絶縁ライナー層の化学的な材料組成が、前記ILD層内の前記少なくとも1つのコンタクトの化学的な材料組成または前記STI層パッドの化学的組成と異なる、請求項1に記載の半導体ウェハ。
  3. 前記側壁絶縁ライナー層が、ポリマー、窒化ケイ素、および酸化ケイ素からなる群から選択される材料で構成されている、請求項1に記載の半導体ウェハ。
  4. 前記基板貫通ビアの前記導電性充填材料に結合された再配線層をさらに含む、請求項1に記載の半導体ウェハ。
  5. 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの少なくとも1つに組み込まれた、請求項1に記載の半導体ウェハ。
  6. 半導体基板の中に基板貫通ビア(TSV)を製造する方法であって、
    基板貫通ビア(TSV)キャビティを前記半導体基板の中へとエッチングするステップであって、前記TSVキャビティが、前記半導体基板内のシャロートレンチアイソレーション(STI)層パッドに延在している、ステップと、
    前記STI層パッドを貫通して相互接続子/コンタクト上の膜までエッチングするステップと、
    前記TSVキャビティ内に絶縁ライナー層を堆積させるステップであって、前記絶縁ライナー層が前記相互接続子/コンタクト上の前記膜の材料とは異なる材料を含んでいる、ステップと、
    前記相互接続子/コンタクト上の前記膜および前記絶縁ライナー層の一部分を貫通するようにエッチングして、前記相互接続子/コンタクトを露出させるステップとを含む、方法。
  7. 前記基板貫通ビアをエッチングするステップがさらに、前記半導体基板の中へと、かつ前記STI層パッドの中へと、しかし前記STI層パッドを貫通しないようにエッチングするステップを含む、請求項6に記載の方法。
  8. 側壁絶縁ライナー層が、場合によっては前記STI層パッドの中に延びるが前記STI層パッドを貫通しない部分を含むように、前記STI層パッドを貫通するようにエッチングする前に前記絶縁ライナー層を堆積させるステップが実行される、請求項7に記載の方法。
  9. 前記膜を貫通するようにエッチングするステップが、前記TSVキャビティの側壁上の前記絶縁ライナー層の少なくとも前記部分を損傷させない、方向性エッチングを実行するステップを含む、請求項6に記載の方法。
  10. 前記TSVキャビティの側壁上の前記絶縁ライナー層上にフォトレジストを堆積させるステップをさらに含み、前記フォトレジストが、前記相互接続子/コンタクト上の前記膜および前記絶縁ライナー層の保護されていない部分を貫通するようにエッチングして前記相互接続子/コンタクトを露出させるときに、前記ビアキャビティの側壁上の前記絶縁ライナー層を保護している、請求項9に記載の方法。
  11. 前記絶縁ライナー層を堆積させた後で開口をパターニングするステップをさらに含み、前記開口が、前記相互接続子/コンタクト上の前記膜を貫通するようにエッチングして前記相互接続子/コンタクトを露出させるときに使用され、
    前記絶縁ライナー層を堆積させるステップが前記TSVキャビティを充填するステップを含む、請求項10に記載の方法。
  12. 前記TSVキャビティを導電性材料によって充填するステップをさらに含み、前記導電性材料が前記相互接続子/コンタクトと電気的に結合する、請求項6に記載の方法。
  13. 前記TSVキャビティを充填する前にレジストをパターニングして、前記TSVの再配線層を画定するステップをさらに含む、請求項12に記載の方法。
  14. 前記膜を貫通するようにエッチングする前記ステップが、ウェットエッチングを実行するステップを含む、請求項6に記載の方法。
  15. 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの少なくとも1つに前記半導体基板を組み込むステップをさらに含む、請求項6に記載の方法。
  16. 半導体基板と、
    前記半導体基板の表面上のシャロートレンチアイソレーション(STI)層パッドと、
    コンタクトエッチストップ層上に形成された層間誘電体(ILD)層であって、前記コンタクトエッチストップ層が、前記半導体基板の前記表面上の前記STI層パッドから前記ILD層を分離している、ILD層と、
    基板を貫通する導通のための手段であって、前記ILD層内の少なくとも1つのコンタクトと結合するように、前記STI層パッドおよび前記半導体基板を貫通するように延在しており、前記導通手段の側壁を絶縁するための手段を含み、前記絶縁手段が、場合によっては前記STI層パッドの中に延びるが前記STI層パッドを貫通しない部分を有している、手段とを含む、半導体ウェハ。
  17. 前記絶縁手段の化学的な材料組成が、前記ILD層内の前記コンタクトの化学的な材料組成または前記STI層パッドの化学的組成と異なる、請求項16に記載の半導体ウェハ。
  18. 前記絶縁手段が、ポリマー、窒化ケイ素、および酸化ケイ素からなる群から選択される材料を含む、請求項16に記載の半導体ウェハ。
  19. 導通のための前記手段に結合された再配線層をさらに含む、請求項16に記載の半導体ウェハ。
  20. 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの少なくとも1つに組み込まれた、請求項16に記載の半導体ウェハ。
JP2015521717A 2012-07-09 2013-07-09 集積回路のウェハ裏面の層からの基板貫通ビアの統合 Expired - Fee Related JP6049877B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261669611P 2012-07-09 2012-07-09
US61/669,611 2012-07-09
US13/790,625 2013-03-08
US13/790,625 US9219032B2 (en) 2012-07-09 2013-03-08 Integrating through substrate vias from wafer backside layers of integrated circuits
PCT/US2013/049686 WO2014011615A1 (en) 2012-07-09 2013-07-09 Integrating through substrate vias from wafer backside layers of integrated circuits

Publications (3)

Publication Number Publication Date
JP2015527733A true JP2015527733A (ja) 2015-09-17
JP2015527733A5 JP2015527733A5 (ja) 2016-04-28
JP6049877B2 JP6049877B2 (ja) 2016-12-21

Family

ID=49877888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015521717A Expired - Fee Related JP6049877B2 (ja) 2012-07-09 2013-07-09 集積回路のウェハ裏面の層からの基板貫通ビアの統合

Country Status (7)

Country Link
US (1) US9219032B2 (ja)
EP (1) EP2870628A1 (ja)
JP (1) JP6049877B2 (ja)
KR (1) KR101654794B1 (ja)
CN (1) CN104428887B (ja)
TW (1) TWI575652B (ja)
WO (1) WO2014011615A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020535647A (ja) * 2017-09-29 2020-12-03 クアルコム,インコーポレイテッド 裏面シリサイド化によるバルク層転写処理

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9252080B1 (en) 2014-10-15 2016-02-02 Globalfoundries Inc. Dielectric cover for a through silicon via
US9515017B2 (en) 2014-12-18 2016-12-06 Intel Corporation Ground via clustering for crosstalk mitigation
CN104600027B (zh) * 2015-01-30 2017-10-27 华进半导体封装先导技术研发中心有限公司 一种tsv通孔的制备工艺
CN104600026A (zh) * 2015-01-30 2015-05-06 华进半导体封装先导技术研发中心有限公司 Cis产品tsv孔底部pad表面绝缘层的刻蚀方法
CN106298627B (zh) * 2015-05-20 2019-06-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US10490449B2 (en) 2015-09-24 2019-11-26 Intel Corporation Techniques for revealing a backside of an integrated circuit device, and associated configurations
US9673275B2 (en) 2015-10-22 2017-06-06 Qualcomm Incorporated Isolated complementary metal-oxide semiconductor (CMOS) devices for radio-frequency (RF) circuits
US9786592B2 (en) * 2015-10-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method of forming the same
CN108701614A (zh) * 2016-02-29 2018-10-23 Towerjazz松下半导体有限公司 半导体装置及其制造方法
KR102652854B1 (ko) * 2016-08-17 2024-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10446546B2 (en) * 2016-11-17 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods of forming the same
EP3324436B1 (en) * 2016-11-21 2020-08-05 IMEC vzw An integrated circuit chip with power delivery network on the backside of the chip
CN107644840A (zh) * 2017-08-31 2018-01-30 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
US10607887B2 (en) * 2017-08-31 2020-03-31 Yangtze Memory Technologies Co., Ltd. Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof
CN107644838B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
US10651087B2 (en) 2017-08-31 2020-05-12 Yangtze Memory Technologies Co., Ltd. Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof
CN107644837B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
CN107644841B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
CN107644836A (zh) * 2017-08-31 2018-01-30 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
US11508619B2 (en) 2018-01-26 2022-11-22 Agency For Science, Technology And Research Electrical connection structure and method of forming the same
KR102521658B1 (ko) 2018-09-03 2023-04-13 삼성전자주식회사 반도체 칩 및 이의 제조 방법
KR102576062B1 (ko) 2018-11-07 2023-09-07 삼성전자주식회사 관통 실리콘 비아를 포함하는 반도체 소자 및 그 제조 방법
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
KR20210129656A (ko) 2019-01-23 2021-10-28 코르보 유에스, 인크. Rf 반도체 디바이스 및 이를 형성하는 방법
US20200235066A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
US10937690B2 (en) * 2019-03-26 2021-03-02 Micron Technology, Inc. Selective dielectric deposition
US10991667B2 (en) * 2019-08-06 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for bond pad structure
US11217547B2 (en) * 2019-09-03 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad structure with reduced step height and increased electrical isolation
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive
CN111508929B (zh) * 2020-04-17 2022-02-22 北京北方华创微电子装备有限公司 图形片及半导体中间产物
KR20220017175A (ko) 2020-08-04 2022-02-11 에스케이하이닉스 주식회사 웨이퍼 대 웨이퍼 본딩 구조를 갖는 반도체 장치 및 그 제조방법
US11862535B2 (en) 2020-09-16 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate-via with reentrant profile
WO2022160116A1 (en) * 2021-01-27 2022-08-04 Innoscience (suzhou) Semiconductor Co., Ltd. Semiconductor device structures and methods of manufacturing the same
CN113394185A (zh) * 2021-06-10 2021-09-14 武汉新芯集成电路制造有限公司 半导体器件及其制作方法、芯片

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011003645A (ja) * 2009-06-17 2011-01-06 Sharp Corp 半導体装置およびその製造方法
JP2011029491A (ja) * 2009-07-28 2011-02-10 Toshiba Corp 半導体装置およびその製造方法
JP2011108690A (ja) * 2009-11-12 2011-06-02 Panasonic Corp 半導体装置及びその製造方法
JP2011523202A (ja) * 2008-05-02 2011-08-04 アイメック 酸化層の形成方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638844B1 (en) 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
US7531407B2 (en) 2006-07-18 2009-05-12 International Business Machines Corporation Semiconductor integrated circuit devices having high-Q wafer backside inductors and methods of fabricating same
US7741218B2 (en) 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
US7786584B2 (en) 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
EP3032578B1 (en) 2008-03-19 2021-01-13 IMEC vzw Method for fabricating through-substrate vias and corresponding semiconductor device
FR2930840B1 (fr) * 2008-04-30 2010-08-13 St Microelectronics Crolles 2 Procede de reprise de contact sur un circuit eclaire par la face arriere
US7956442B2 (en) * 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
JP4987928B2 (ja) 2009-09-24 2012-08-01 株式会社東芝 半導体装置の製造方法
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011523202A (ja) * 2008-05-02 2011-08-04 アイメック 酸化層の形成方法
JP2011003645A (ja) * 2009-06-17 2011-01-06 Sharp Corp 半導体装置およびその製造方法
JP2011029491A (ja) * 2009-07-28 2011-02-10 Toshiba Corp 半導体装置およびその製造方法
JP2011108690A (ja) * 2009-11-12 2011-06-02 Panasonic Corp 半導体装置及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020535647A (ja) * 2017-09-29 2020-12-03 クアルコム,インコーポレイテッド 裏面シリサイド化によるバルク層転写処理
JP7248660B2 (ja) 2017-09-29 2023-03-29 クアルコム,インコーポレイテッド 裏面シリサイド化によるバルク層転写処理

Also Published As

Publication number Publication date
EP2870628A1 (en) 2015-05-13
KR101654794B1 (ko) 2016-09-06
TW201409612A (zh) 2014-03-01
WO2014011615A1 (en) 2014-01-16
CN104428887B (zh) 2017-08-11
KR20150028845A (ko) 2015-03-16
US9219032B2 (en) 2015-12-22
CN104428887A (zh) 2015-03-18
US20140008757A1 (en) 2014-01-09
JP6049877B2 (ja) 2016-12-21
TWI575652B (zh) 2017-03-21

Similar Documents

Publication Publication Date Title
JP6049877B2 (ja) 集積回路のウェハ裏面の層からの基板貫通ビアの統合
JP5706055B2 (ja) Tsvの歪緩和のための構造および方法
JP6012763B2 (ja) 基板貫通ビアを集積回路の中間工程層に組み込むこと
WO2019210617A1 (zh) 晶圆级系统封装方法及封装结构
JP6068492B2 (ja) 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ
KR101918609B1 (ko) 집적회로 소자
TWI750009B (zh) 具有矽穿孔插塞的半導體元件及其製備方法
KR20190021127A (ko) 반도체 소자
TW201909362A (zh) 用於著陸在不同接觸區階層的接觸方案
US11973006B2 (en) Self-aligned contact openings for backside through substrate vias
WO2011009063A1 (en) Barrier layer on polymer passivation for integrated circuit packaging
JP5845781B2 (ja) 半導体装置の製造方法
US20130140688A1 (en) Through Silicon Via and Method of Manufacturing the Same
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
JP6160360B2 (ja) 電子デバイス及びその製造方法
JP5751131B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160307

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160307

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20160307

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20160607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161024

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161122

R150 Certificate of patent or registration of utility model

Ref document number: 6049877

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees