JP2014524139A - 酸化物表面でなくベアシリコンへのポリマー膜の選択的堆積 - Google Patents

酸化物表面でなくベアシリコンへのポリマー膜の選択的堆積 Download PDF

Info

Publication number
JP2014524139A
JP2014524139A JP2014514466A JP2014514466A JP2014524139A JP 2014524139 A JP2014524139 A JP 2014524139A JP 2014514466 A JP2014514466 A JP 2014514466A JP 2014514466 A JP2014514466 A JP 2014514466A JP 2014524139 A JP2014524139 A JP 2014524139A
Authority
JP
Japan
Prior art keywords
carbon
substrate
gas
region
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014514466A
Other languages
English (en)
Other versions
JP5992513B2 (ja
Inventor
ダピン ヤオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014524139A publication Critical patent/JP2014524139A/ja
Application granted granted Critical
Publication of JP5992513B2 publication Critical patent/JP5992513B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

シリコン基板に形成されたベアシリコンの領域と酸化物の領域とを有するシリコン基板への選択的堆積の方法。この方法は、基板を処理チャンバの内部のウエハ支持体に載置することと、炭素含有ガスをリアクタに導入することと、バイアスを基板に印加することと、炭化含有ガスからプラズマを発生させることと、プラズマドーピングプロセスによって炭素イオンを基板上の酸化物の領域に注入することと、炭素含有膜をベアシリコン領域に堆積させることとを含む。

Description

本発明の実施形態は、一般に、基板への選択的堆積に関する。
より速い集積回路を可能にするために集積回路の形状寸法が減少されるにつれて、膜の高度に選択的な堆積の必要性が増大している。時には、形状寸法が非常に減少されることがあるので、マスキング層のエッチングを実行できないことがある。そのような場合、マスキング層の選択的堆積が、マスキング層をエッチングする必要性を減少させるために望ましい。
いくつかの状況では、例えば、下準備処理を受けたデバイス(メモリまたは論理デバイスなど)は、その表側に形成された酸化物領域およびシリコン領域を有することがある。酸化物領域は、ゲート、ビア、コンタクト孔、または相互接続ラインを形成するために後続のエッチングを必要とすることがあり、一方、シリコン領域はエッチング液からマスクされる必要があることがある。典型的には、ポリマー膜を堆積し、次に、エッチングして、基板のシリコン領域の上にマスキング層を形成することができる。しかし、小さい形状寸法が含まれている場合、エッチングは、時には、実行することができない。それ故に、基板上にポリマー被覆のマスク化層を直接製作する必要があり、ポリマーはマスクされる必要がある区域にのみ選択的に堆積され、その結果、堆積の後のポリマー層のエッチングは必要でなくなる。理想的には、ある領域はポリマーで覆うことができ、基板の他の領域はむき出しのままとすることができる。
本発明の実施形態は、一般に、基板への選択的堆積に関する。1つの実施形態では、ポリマー膜の選択的堆積の方法が提供され、この方法は、基板の表面にシリコンの領域と酸化物の領域とを有する基板を用意することと、基板を処理チャンバに載置することと、炭化水素ガスを処理チャンバに導入することと、プラズマ浸漬イオン注入などのプラズマドーピングプロセスを使用して炭素含有層を基板のシリコンの領域に堆積させることとを含む。
1つの実施形態では、選択的堆積の方法は、基板の表面にシリコン領域と酸化物領域とを有する基板を含むことができる。基板は、処理チャンバ内の基板支持体に載置することができ、その後、基板は電気的にバイアスされうる。基板が所望のレベルにバイアスされると、炭化水素ガスを処理チャンバに導入することができる。次に、炭化水素ガスは、プラズマ浸漬イオン注入などのプラズマドーピングプロセスを使用して、シリコン領域に炭素含有層として堆積され、基板の酸化物領域に注入されうる。
別の実施形態では、基板の非酸化物含有領域への選択的堆積の方法は、基板の表面にシリコンの領域と酸化物の領域とを有することができる基板を用意することを含むことができる。基板は、電気的にバイアスをかけることができる処理チャンバに載置することができる。炭化水素ガスを処理チャンバに導入することによって、基板におけるバイアスの増加により、炭素含有層を露出したシリコンの領域上および中に堆積させながら、同時に酸化物領域中に堆積させることができる。
本発明の上述で列挙した特徴を詳細に理解できるように、上述で簡単に要約した本発明のより詳細な説明を実施形態を参照して行うことができ、実施形態のいくつかを添付図面に示す。
本明細書で開示する方法を実施するのに好適なプラズマドーピングツールの1つの実施形態を示す図である。 本明細書で開示する方法を実施するのに好適なプラズマドーピングツールの1つの実施形態を示す図である。 本明細書で説明する選択的堆積の前後の基板の表層の簡単化した垂直断面図である。 本明細書で開示する方法のプロセス流れ図である。 本明細書で開示する方法を使用して処置された基板における元素の濃度対深さを示す二次イオン質量分析(SIMS)プロファイルである。 本明細書で説明する選択的堆積方法を受けたベアシリコン基板のX線光電子分光(XPS)測定値のグラフである。
理解を容易にするために、図に共通である同一の要素を指定するのに可能ならば同一の参照番号が使用されている。ある実施形態の要素および特徴は、さらなる詳説なしに他の実施形態に有利に組み込むことができると考えられる。
しかし、添付図面は本発明の例示的な実施形態のみを示し、それ故に、本発明は他の同等に有効な実施形態を認めてもよいので添付図面が本発明の範囲を限定すると考えるべきでないことに留意されるべきである。
本発明の実施形態は、一般に、シリコン基板に形成されたベアシリコンの領域と酸化物の領域とを有するシリコン基板へのポリマー膜の選択的堆積の方法に関する。1つの実施形態では、この方法は、基板を処理チャンバの内部のウエハ支持体に載置することと、炭素含有ガス(CH、C、C、またはCなどの)をリアクタに導入することと、バイアスを基板に印加することと、炭化水素ガスからプラズマを発生させることと、プラズマドーピングプロセスによって炭素イオンを基板に注入することであり、炭素含有膜が酸化物領域ではなくベアシリコン領域に堆積される、注入することとを含む。
図1Aは、本発明の1つの実施形態によるイオン注入プロセスを実施するために利用することができる処理チャンバ100を示す。プラズマ浸漬イオン注入プロセスを実施することができる1つの好適なリアクタは、カリフォルニア州、サンタクララのApplied Materials, Inc.から入手可能なP3i(登録商標)リアクタである。本明細書で説明する方法は、他の製造業者からのものを含めて他の好適に適合されたプラズマリアクタで実施することができることが考えられる。
処理チャンバ100は、プロセス領域104を囲む底部124、上部126、および側壁122を有するチャンバ本体102を含む。基板支持アセンブリ128は、チャンバ本体102の底部124から支持され、処理のために基板106を受け取るように構成される。基板は、適宜、静電チャック上に支持することができる。ガス分配プレート130は、基板支持アセンブリ128に面するチャンバ本体102の上部126に結合される。排出口132はチャンバ本体102に画定され、真空ポンプ134に結合される。真空ポンプ134は、絞り弁136を通して排出口132に結合される。プロセスガス源152はガス分配プレート130に結合されて、基板106上で行われるプロセスのためのガス状前駆体化合物を供給する。
図1Aに示した処理チャンバ100はプラズマソース190をさらに含み、プラズマソース190は図1Bの斜視図に最もよく示される。プラズマソース190は、チャンバ本体102の上部126の外側に取り付けられ、互いに交差して配置された(すなわち、図1Bに示した例示的な実施形態のように互いに直交した)1対の別個の外部内曲導管(第1の外部内曲導管140、第2の外部内曲導管140’)を含む。第1の外部内曲導管140は、上部126に形成された開口198を通って、チャンバ本体102のプロセス領域104の第1の側に結合された第1の端部140aを有する。第2の端部140bは、プロセス領域104の第2の側に結合された開口196を有する。第2の外部内曲導管140’は、プロセス領域104の第3の側に結合された開口194を有する第1の端部140a’と、プロセス領域104の第4の側に結合された開口192を有する第2の端部140b’とを有する。1つの実施形態では、第1の外部内曲導管140および第2の外部内曲導管140’は互いに直交するように構成され、それによって、チャンバ本体102の上部126の周辺のまわりに約90度の間隔で配置された、それぞれ、第1の外部内曲導管140および第2の外部内曲導管140’の各々の第1の端部140a、第2の端部140b、および第1の端部140a’、第2の端部140b’を備える。第1の外部内曲導管140および第2の外部内曲導管140’の直交構成により、プラズマソースはプロセス領域104の端から端まで均一に分配することができる。第1の外部内曲導管140および第2の外部内曲導管140’は、プロセス領域104への均一プラズマ分配を行うのに利用される他の分配として構成することができることが考えられる。
透磁性トロイダル磁心142、142’が、第1の外部内曲導管140および第2の外部内曲導管140’の対応するものの一部分を囲む。導電性コイル144、144’は、それぞれのインピーダンス整合回路または素子148、148’を通してそれぞれのRFプラズマソース電力ジェネレータ146、146’に結合される。第1の外部内曲導管140および第2の外部内曲導管140’の1つずつは、それぞれ、それぞれの第1の外部内曲導管140および第2の外部内曲導管140’の第1の端部140aおよび第2の端部140b(ならびに第1の端部140a’および第2の端部104b’)の間の普通なら連続的であるはずの電気経路を遮断する絶縁環状リング150、150’によって遮断された中空導電性管である。基板表面のイオンエネルギーは、インピーダンス整合回路または素子156を通して基板支持アセンブリ128に結合されたRFプラズマバイアス電力ジェネレータ154によって制御される。
図1Aに戻って参照すると、プロセスガス源152から供給されるガス状化合物を含むプロセスガスが、ガス分配プレート130を通してプロセス領域104に導入される。透磁性トロイダル磁心142、142’の一方が導電性コイル144、144’の一方と結合して、電力アプリケータを形成する。RFプラズマソース電力ジェネレータ146は、電力アプリケータ(透磁性トロイダル磁心142および導電性コイル144)から、第1の外部内曲導管140に供給されるガスに結合され、それにより、第1の外部内曲導管140およびプロセス領域104を含む第1の閉じたトロイダル経路に循環プラズマ電流が生成される。さらに、RFプラズマソース電力ジェネレータ146’は、他の電力アプリケータ(透磁性トロイダル磁心142’および導電性コイル144’)から、第2の外部内曲導管140’内のガスに結合され、それにより、第1のトロイダル経路と交差する(例えば、直交する)第2の閉じたトロイダル経路に循環プラズマ電流が生成される。第2の閉じたトロイダル経路には、第2の外部内曲導管140’およびプロセス領域104が含まれる。経路の各々のプラズマ電流は、互いに同じであるかまたはわずかにオフセットされることがあるそれぞれのRFプラズマソース電力ジェネレータ146、146’の周波数で振動する(例えば逆方向)。
1つの実施形態では、プロセスガス源152は、基板106に注入されるイオンを支給するのに利用することができるプロセス混合ガスを支給することができる。本明細書で開示する方法のために、プロセスガスの好適な例には、数ある中で、メタン(CH)、エテン(C)、エタン(C)、およびプロパン(C)などの炭素含有ガスが含まれる。いくつかの実施形態では、プロセス混合ガスは、H、He、またはArなどの希釈ガスをさらに含むことができる。各RFプラズマソース電力ジェネレータ146、146’の電力は、それらの組合せ効果がプロセスガス源152から供給されるプロセスガスを効率的に解離し、基板106の表面に所望のイオンフラックスを生じさせるように操作される。RFプラズマバイアス電力ジェネレータ154の電力は、プロセスガスから解離されたイオンが基板表面の方に加速され、基板106の上面の下方に所望の深さに所望のイオン濃度で注入されうる選択したレベルに制御される。例えば、約500W未満などの相対的に低いRF電力では、イオンは相対的に低いエネルギーで励起される。低いエネルギーのイオンは、基板表面から約100Å未満の浅い深さに注入されうる。代替として、約3000Wよりも高いなどの高いバイアスRF電力から支給かつ発生された高エネルギーをもつイオンは、基板表面から100Åを実質的に超える深さを有するように基板に注入することができる。
制御されたRFプラズマソース電力およびRFプラズマバイアス電力の組合せにより、処理チャンバ100内で十分な運動量と所望のイオン分布とを有する混合ガス中の炭素イオンが解離される。イオンはバイアスをかけられ、基板表面に向けて動かされ、それによって、イオンは、基板の平坦面および側壁面の両方の酸化物領域に所望のイオン濃度、分布、および基板表面からの深さで注入される。注入された炭素イオンの深さおよび濃度は基板表面に印加されたエネルギーの量で制御され、エネルギーの量はバイアス電力で制御することができる。
図2は、本明細書で説明する選択的堆積の前後の基板の表層の簡単化した垂直断面図を示す。図3は、プラズマドーピングプロセスによって、基板のベアシリコン領域に炭素含有層を堆積し、炭素イオンを基板の酸化物領域に注入するための方法300のプロセス流れ図を示す。方法300は、図1Aおよび1Bで説明したように、処理チャンバ100などのプラズマ浸漬イオン注入処理チャンバ内で行うことができる。方法300は、ステップ302において、基板の表面にベアシリコン領域(図2の211を参照)および酸化物領域(図2の210を参照)を有する基板を浸漬イオン注入処理チャンバ内に導入することによって始まる。基板は、結晶シリコン、ストレインドシリコン(IBMが開発したもの)、シリコンゲルマニウム、ドープもしくはアンドープポリシリコン、ドープもしくはアンドープシリコンウエハ、またはドープシリコンなどの材料とすることができる。基板は、200mmまたは300mm直径ウエハなどの様々な寸法、ならびに長方形または正方形区画を有することができる。特に言及しない限り、本明細書で説明する実施形態および例は、300mm直径を有する基板に対して行われる。
1つの実施形態では、基板106は化学機械研磨(CMP)適用などの下準備処理を受けたウエハとすることができ、酸化物層が、当技術分野で既知の技法を使用して、ベアシリコンウエハに堆積され、パターニングまたはエッチングされており、その結果、ウエハはその表側にベアシリコン領域211および酸化物領域210を有する。酸化物は、例えば、酸化ケイ素または酸化ゲルマニウムを含むことができる。簡単にするために、ウエハの最上層のみが図2に示されており、下層は、たとえあっても、示されていない。
ステップ304において、後続の堆積および注入プロセス用のイオン種を支給するために、CH、C、C、およびCなどの炭素含有ガスが処理チャンバ100に供給される。1つの実施形態では、炭素含有ガスは1分子当たり5つ以下の炭素原子を有する炭化水素とすることができる。炭素含有ガスは、プロセスガス源152からガス分配プレート130に、図1Aで説明したように、または他の好適な手段で供給することができる。炭素含有ガスは、堆積されるべき炭素を基板のベアシリコン領域に、および注入されるべき所望のイオンを基板の酸化物領域に支給する。1つの実施形態では、処理チャンバ100に供給されるガスは、炭素堆積プロファイルをより良好に制御するためにH、He、またはArなどの希釈ガスをさらに含むことができる。処理チャンバ100内の希釈ガスは、より良好な炭素堆積プロファイル制御をもたらし、混合ガス中のイオン衝撃を促進し、それによって、プロセスガス衝突の可能性を効率的に増加させ、その結果、イオン種の再結合の減少をもたらすことができる。
ステップ306において、プラズマドーピングプロセスを行って、図2に示すように、ステップ304において混合ガスから発生されたイオンを基板の酸化物領域210に注入し、炭素含有膜213を基板のベアシリコン領域211に堆積させる。RFソース電力を印加して、処理チャンバ100内で混合ガスからプラズマを発生させる。発生したプラズマは、処理チャンバ100内で混合ガスをイオン種として解離する。RFバイアス電力を、プラズマソースに印加されるRFソース電力と一緒に基板に印加して、解離されるイオン種を混合ガスから解離し、酸化物領域において基板表面から所望の深さの方におよびその中に動かすことができる。
一般に、基板に供給されるバイアス電力がない場合、炭素含有層は、露出したシリコン表面および酸化物表面の両方に同様に堆積することができる。ある実施形態では、プラズマ浸漬(注入)エネルギーが、3000〜4000Wの電力にバイアスされた基板に対応する6kV〜8kVであった場合の一定のプロセス時間において、透過電子顕微鏡(TEM)画像の示すところによれば、Si表面は少なくとも40Åの厚さをもつポリマー層を有していたが、酸化物表面はその上に堆積されたポリマーを有していなかった。別の実施形態では、試料がより高いエネルギーおよびより長い処理時間で処理された場合のX線光電子分光法(XPS)の測定の下で、ベアシリコン表面は100Åを超える炭素含有層形成を有していたが、一方、酸化物表面は依然として検出可能なポリマー形成を有していなかった。すべての他の条件を一定のままにした状態で処理時間を4倍に増加してさえ、炭素含有層は酸化物層上に検出されなかった。
処理チャンバ100に印加されるRFソース電力およびバイアス電力を所望のエネルギーレベルに制御することができ、それによって、イオン種を解離させ、基板の酸化物領域に所望の濃度および深さでドープさせることができる。RFソース電力を増加させると、一般に、プロセスガスのイオン化の増加により堆積または注入に利用可能な炭素の量が増加する。RFバイアス電力を増加させると、一般に、基板の酸化物領域への炭素の注入深さが増加する。減少したRFバイアスを使用するか、またはRFバイアスを使用しない場合、炭素は、酸化物領域への注入ではなく酸化物領域上に単に堆積することができることに留意されたい。加えて、相対的により大きいRFバイアス下で、炭素は、ベアシリコン領域への堆積に加えてまたは代替として、ベアシリコン領域に注入することができる。図2に示すように、本明細書で説明する選択的堆積方法に由来する基板はベアシリコン領域211に炭素含有膜213を有することになり、酸化物領域212は露出されることになる。
1つの実施形態では、ソースRF電力は、約13.56MHzの周波数で約100ワットと約1000ワットとの間に維持することができる。1つの実施形態では、バイアスRFをオンにした後、ソースRFをオフ(0Wの電力)にすることができ、安定したプラズマを達成することができる。バイアスRF電力は、約2MHzの周波数で約100ワットと約5000ワットとの間に維持することができる。1つの実施形態では、ソースRF電力は400W(13.56MHzで)とすることができ、かつバイアス電力は4000W(2MHzで)とすることができる。
いくつかのプロセスパラメータは、ステップ306におけるプラズマドーピングプロセス中に調整することもできる。1つの実施形態では、チャンバ圧力は、約5mTorrと約15mTorrとの間に維持することができる。チャンバ圧力が高いほど、基板のベアシリコン領域に堆積される炭素含有膜は厚くなりうる。チャンバ温度は、摂氏約50度と摂氏約90度との間に維持することができる。ウエハ温度は、静電チャックを使用して制御することができ、摂氏約0度と摂氏約100度との間に維持することができる。1つの実施形態では、チャンバ圧力は7mTorrとすることができ、チャンバ温度は摂氏65度とすることができ、かつウエハ温度は摂氏30度とすることができる。
ステップ304において供給された反応ガスと希釈ガスとの間の混合ガス流量および/または混合ガス流量比は、各タイプのガス間の解離したイオン種の相対量を制御するように選択することができる。選択された混合ガス流量および/または流量比により、混合ガスは、異なる種類のイオン種間で所定の量および/または比で解離することができ、それによって、処理チャンバ内に過剰量のあるタイプのイオンが発生し、その結果、不要な副反応および/または基板への望ましくない膜の形成がもたらされることが防止される。例えば、水素、ヘリウム、またはアルゴンイオンなどの過剰量のあるタイプのイオン種は基板表面をスパッタし衝撃を与え、それによって、基板表面を損傷および粗化することがある。
1つの実施形態では、炭素含有ガスと希釈ガスとの流量比は、約1:20と約1:0.5との間に制御することができる。1つの実施形態では、炭素含有ガスは、約10sccmと約50sccmとの間などの約2sccmと約100sccmとの間のレートで処理チャンバに流れ込むことができる。希釈ガスは、約50sccmと約100sccmとの間などの約20sccmと約200sccmとの間のレートで処理チャンバに流れ込むことができる。
本発明の例示的な実施形態では、混合ガスはCHおよびHを含むことができる。1つの実施形態では、CHガスとHガスとは約1:9の流量比を有することができる。CHガスは10sccmのレートで供給することができ、かつHガスは90sccmのレートで供給することができる。本発明の別の例示的な実施形態では、混合ガスはCHおよびHeを含むことができる。1つの実施形態では、CHガスとHeガスとは、1:9などの約1:20と約1:1との間の流量比を有することができる。300mmの基板では、CHガスは10sccmのレートで供給することができ、かつHeガスは90sccmのレートで供給することができる。いずれの実施形態のソースRF電力も約100ワットと約1000ワットとの間に制御することができ、かつバイアスRF電力は約100ワットと約5000ワットとの間に制御することができる。
本発明による別の例示的な実施形態では、炭素含有ガスのみを供給することができる。例えば、50sccmのCHを希釈ガスなしで供給することができる。ソースRF電力は約100ワット、および約50ワットと約1000ワットとの間に制御することができ、かつバイアスRF電力は約100ワットと約5000ワットとの間に制御することができる。
図4は、本明細書で開示した方法を使用して処置された基板の酸化物領域における元素の濃度対深さを示す二次イオン質量分析(SIMS)プロファイルである。酸化物層が結晶シリコン基板上に形成された。次に、基板は本明細書で説明した方法を使用してメタンに曝された。図4に示すように、炭素が酸化物層と基板とに注入された。炭素含有膜は酸化物層の上に堆積されなかった。さらに、酸化物層とのインターフェースの近くの結晶シリコンのいくらかは注入された水素に起因してアモルファスになった。
図5は、本明細書で説明した選択的堆積方法を受けたベアシリコン基板(酸化物領域を有していない)に対するX線光電子分光法(XPS)で測定されたときの元素の原子パーセントのグラフである。堆積の後、ケイ素信号は検出されていない。代わりに、基板表面は、高い原子パーセント(約80%)の炭素を有しており、それは、厚さが少なくとも50Åの厚さを有する炭素含有膜がベアシリコン基板の表面に堆積されたことを示している。20%の原子パーセントの酸化物は、シリコンに以前から存在している自然酸化物の結果(例えば、周囲空気に基板を曝した結果)である。
限られたデータが示すところによれば、ベアシリコン領域上の堆積対酸化物領域上の堆積の比は1:1から約20:1であった。バイアスRF電力(プラズマ浸漬エネルギー)は、選択性を最適化する主要基準である。ソース電力を増加すると、シリコン領域および酸化物領域の両方への侵入が増加すると考えられるので、ソースRF電力は、やはり、選択性変更に影響を及ぼすことができる。
このように、ベアシリコン領域および酸化物領域を有する基板の上へのプラズマドーピングプロセスによる炭素含有膜の選択的堆積の方法が行われる。改善した方法は、有利には、炭素含有膜を、それが最終的に望まれる区域にのみ選択的に堆積させ、その結果、堆積の後のポリマー層のパターニングは必要でない。これは、時にはパターニングを実行することができない小さい形状寸法を有する集積回路の製作に有用である。基板上のベアシリコンの領域は、ポリマーで覆い、さらなる処理から保護することができ、一方、基板上の酸化物領域は露出したままとすることができる。
前述は本発明の実施形態に関するが、本発明の基本範囲から逸脱することなく本発明の他のおよびさらなる実施形態を考案することができ、本発明の範囲は以下の特許請求の範囲によって決定される。

Claims (15)

  1. 炭素含有ガスから発生された炭素含有イオンから基板のシリコン領域に炭素含有層を選択的に堆積させることであり、前記基板が少なくとも1つのシリコン領域と少なくとも1つの酸化物領域とを含む、選択的に堆積させることと、
    前記基板の前記酸化物領域に炭素含有イオンを選択的に注入することと
    を含む堆積の方法。
  2. 前記炭素含有ガスが、5つ以下の炭素原子を有する分子を含む、請求項1に記載の方法。
  3. チャンバ圧力が、約5mTorrと約15mTorrとの間に維持される、請求項1に記載の方法。
  4. 前記炭素含有ガスを希釈ガスで希釈することをさらに含む、請求項1に記載の方法。
  5. 炭素含有ガス対希釈ガスの比が、約1:20と約1:0.5との間にある、請求項4に記載の方法。
  6. 前記基板に電気的にバイアスをかけることをさらに含み、前記電気バイアスが、前記炭素含有イオンの前記酸化物層への注入の深さを増加させるように増加される、請求項1に記載の方法。
  7. 炭素含有ガスから発生された炭素含有イオンから基板のシリコン領域に炭素含有層を選択的に堆積させることであり、前記基板が少なくとも1つのシリコン領域と少なくとも1つの酸化物領域とを含む、選択的に堆積させることと、
    前記炭素含有イオンの少なくとも一部分を前記シリコン領域および前記酸化物領域の両方に注入することと
    を含む堆積の方法。
  8. 前記炭素含有ガスが、5つ以下の炭素原子を有する分子を含む、請求項7に記載の方法。
  9. チャンバ圧力が、約5mTorrと約15mTorrとの間に維持されうる、請求項7に記載の方法。
  10. 前記基板に電気的にバイアスをかけることをさらに含む、請求項7に記載の方法。
  11. 前記電気バイアスがRF電源を使用する、請求項10に記載の方法。
  12. 前記電気バイアスは、プロセスガスから解離されたイオンが前記基板表面の方に加速され、基板の上面の下方に所望の深さに所望のイオン濃度で注入されるレベルに制御される、請求項11に記載の方法。
  13. 前記炭素含有ガスを希釈ガスで希釈することをさらに含む、請求項7に記載の方法。
  14. 炭素含有ガス対希釈ガスの比が、約1:20と約1:0.5との間にある、請求項13に記載の方法。
  15. プラズマドーピングプロセスのRFソース電力を変更して、炭素の堆積または注入の量を制御することをさらに含み、前記RFソース電力の増加が堆積または注入される炭素の量の増加につながる、請求項13に記載の方法。
JP2014514466A 2011-06-10 2012-05-11 酸化物表面でなくベアシリコンへのポリマー膜の選択的堆積 Expired - Fee Related JP5992513B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161495850P 2011-06-10 2011-06-10
US61/495,850 2011-06-10
US13/456,524 2012-04-26
US13/456,524 US8664126B2 (en) 2011-06-10 2012-04-26 Selective deposition of polymer films on bare silicon instead of oxide surface
PCT/US2012/037529 WO2012170150A2 (en) 2011-06-10 2012-05-11 Selective deposition of polymer films on bare silicon instead of oxide surface

Publications (2)

Publication Number Publication Date
JP2014524139A true JP2014524139A (ja) 2014-09-18
JP5992513B2 JP5992513B2 (ja) 2016-09-14

Family

ID=47293537

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014514466A Expired - Fee Related JP5992513B2 (ja) 2011-06-10 2012-05-11 酸化物表面でなくベアシリコンへのポリマー膜の選択的堆積

Country Status (6)

Country Link
US (1) US8664126B2 (ja)
JP (1) JP5992513B2 (ja)
KR (1) KR101516648B1 (ja)
CN (1) CN103620740B (ja)
TW (1) TWI510669B (ja)
WO (1) WO2012170150A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018142651A (ja) * 2017-02-28 2018-09-13 東京エレクトロン株式会社 処理方法及びプラズマ処理装置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273524A1 (en) * 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
CN106663632B (zh) * 2014-07-03 2020-09-22 应用材料公司 用于选择性沉积的方法与设备
US9780250B2 (en) 2016-01-14 2017-10-03 Varian Semiconductor Equipment Associates, Inc. Self-aligned mask for ion implantation
US9935004B2 (en) * 2016-01-21 2018-04-03 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US10566242B2 (en) * 2016-12-13 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Minimization of plasma doping induced fin height loss
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
WO2018222680A1 (en) * 2017-05-30 2018-12-06 Applied Materials, Inc. Selective deposition and etching of metal pillars using aacvd and an electrical bias
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
JP7110034B2 (ja) * 2018-08-24 2022-08-01 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
KR102224128B1 (ko) * 2019-08-05 2021-03-09 한양대학교 산학협력단 탄소 함유 박막의 증착방법
US11761080B2 (en) 2021-01-05 2023-09-19 Applied Materials, Inc. Method for processing a substrate by oscillating a boundary layer of the flow of one or more process gases over a surface of a substrate and systems for processing a substrate using the method
CN113936984A (zh) * 2021-09-14 2022-01-14 长江存储科技有限责任公司 碳离子产生方法、组件及离子注入设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0323296A (ja) * 1989-06-19 1991-01-31 Matsushita Electric Ind Co Ltd ダイヤモンド薄膜堆積用基板の製造方法
JPH03115194A (ja) * 1989-09-29 1991-05-16 Shimadzu Corp 多結晶ダイヤモンド薄膜の製造方法
JPH03215392A (ja) * 1989-09-29 1991-09-20 Shimadzu Corp 単結晶ダイヤモンドの製造方法
JPH0912398A (ja) * 1995-05-01 1997-01-14 Kobe Steel Ltd 電極を使用したダイヤモンド膜の形成方法及び装置
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
JP2010503202A (ja) * 2006-08-31 2010-01-28 アプライド マテリアルズ インコーポレイテッド 高い吸光係数を有する光吸収層を堆積させるための低温hdpcvd過程による注入ドーパントの動的表面アニーリング法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6780700B2 (en) 2000-08-28 2004-08-24 Sharp Laboratories Of America, Inc. Method of fabricating deep sub-micron CMOS source/drain with MDD and selective CVD silicide
KR100558011B1 (ko) 2004-07-12 2006-03-06 삼성전자주식회사 전체실리사이드 금속게이트전극을 갖는 모스 트랜지스터의제조방법
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
JP2009535859A (ja) 2006-05-01 2009-10-01 アプライド マテリアルズ インコーポレイテッド 炭素を混合したsi膜を使用した極浅接合形成の方法
US7935618B2 (en) * 2007-09-26 2011-05-03 Micron Technology, Inc. Sputtering-less ultra-low energy ion implantation
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8207023B2 (en) 2009-08-06 2012-06-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
CN102031501B (zh) * 2009-09-28 2012-10-24 复旦大学 一种在衬底上选择性原子层淀积薄膜的方法
US8592915B2 (en) * 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US9478437B2 (en) * 2011-06-01 2016-10-25 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0323296A (ja) * 1989-06-19 1991-01-31 Matsushita Electric Ind Co Ltd ダイヤモンド薄膜堆積用基板の製造方法
JPH03115194A (ja) * 1989-09-29 1991-05-16 Shimadzu Corp 多結晶ダイヤモンド薄膜の製造方法
JPH03215392A (ja) * 1989-09-29 1991-09-20 Shimadzu Corp 単結晶ダイヤモンドの製造方法
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
JPH0912398A (ja) * 1995-05-01 1997-01-14 Kobe Steel Ltd 電極を使用したダイヤモンド膜の形成方法及び装置
JP2010503202A (ja) * 2006-08-31 2010-01-28 アプライド マテリアルズ インコーポレイテッド 高い吸光係数を有する光吸収層を堆積させるための低温hdpcvd過程による注入ドーパントの動的表面アニーリング法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN6016010439; S.C. Ha, 外5名: 'Fabrication of gated diamond field emitter array using a selective diamond growth process' Thin Solid Films Vol. 341, 1999, pp. 216-220 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018142651A (ja) * 2017-02-28 2018-09-13 東京エレクトロン株式会社 処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US8664126B2 (en) 2014-03-04
KR20140037202A (ko) 2014-03-26
JP5992513B2 (ja) 2016-09-14
US20120315740A1 (en) 2012-12-13
TWI510669B (zh) 2015-12-01
CN103620740A (zh) 2014-03-05
KR101516648B1 (ko) 2015-05-04
TW201250050A (en) 2012-12-16
WO2012170150A3 (en) 2013-04-04
WO2012170150A2 (en) 2012-12-13
CN103620740B (zh) 2016-05-04

Similar Documents

Publication Publication Date Title
JP5992513B2 (ja) 酸化物表面でなくベアシリコンへのポリマー膜の選択的堆積
US10566209B2 (en) Etching method and workpiece processing method
EP3007208B1 (en) Method of processing target object
US9607811B2 (en) Workpiece processing method
US11637025B2 (en) Apparatus for selectively etching first region made of silicon nitride against second region made of silicon oxide
KR20160102356A (ko) 10nm 이하의 패터닝을 달성하기 위한 물질 처리
KR20190014123A (ko) 전자 빔 플라즈마 프로세스에 의해 형성된 다이아몬드상 탄소 층
US9911622B2 (en) Method of processing target object
US11145518B2 (en) Method and apparatus for etching target object
JP7366072B2 (ja) 薄膜の応力を軽減するためのインシトゥ高電力注入
US20140273461A1 (en) Carbon film hardmask stress reduction by hydrogen ion implantation
TW201639000A (zh) 利用掩模及方向性電漿處理之選擇性沉積
US10707088B2 (en) Method of processing target object
US20210202233A1 (en) Substrate processing method and substrate processing apparatus
KR20230007289A (ko) 질화 실리콘으로 형성된 제 1 영역을 산화 실리콘으로 형성된 제 2 영역에 대하여 선택적으로 에칭하는 방법
US20220005700A1 (en) Method and apparatus for etching target object
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
WO2018084255A1 (ja) 被処理体を処理する方法
US11361973B2 (en) Etching method and etching apparatus
US11859275B2 (en) Techniques to improve adhesion and defects for tungsten carbide film
JP3172340B2 (ja) プラズマ処理装置
JP2022161940A (ja) エッチング方法及びプラズマ処理装置
JP2020177958A (ja) 基板処理方法及び基板処理装置
JPH07142450A (ja) 反応性イオンエッチング装置及び方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160719

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160817

R150 Certificate of patent or registration of utility model

Ref document number: 5992513

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees