JP2013540850A - N−置換ジアゼニウムジオキシド及び/又はn’−ヒドロキシジアゼニウムオキシド塩を含有する水性研磨剤組成物 - Google Patents

N−置換ジアゼニウムジオキシド及び/又はn’−ヒドロキシジアゼニウムオキシド塩を含有する水性研磨剤組成物 Download PDF

Info

Publication number
JP2013540850A
JP2013540850A JP2013527719A JP2013527719A JP2013540850A JP 2013540850 A JP2013540850 A JP 2013540850A JP 2013527719 A JP2013527719 A JP 2013527719A JP 2013527719 A JP2013527719 A JP 2013527719A JP 2013540850 A JP2013540850 A JP 2013540850A
Authority
JP
Japan
Prior art keywords
acid
abrasive
composition
substituted
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013527719A
Other languages
English (en)
Other versions
JP2013540850A5 (ja
Inventor
ノルラー,バスティアン
フランツ,ディアナ
リー,ユツホウ
イブラヒム,シェイク アンサル ウスマン
ウエイン ピンダー,ハーヴェイ
スンダール ヴェンカタラマン,シアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2013540850A publication Critical patent/JP2013540850A/ja
Publication of JP2013540850A5 publication Critical patent/JP2013540850A5/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01NPRESERVATION OF BODIES OF HUMANS OR ANIMALS OR PLANTS OR PARTS THEREOF; BIOCIDES, e.g. AS DISINFECTANTS, AS PESTICIDES OR AS HERBICIDES; PEST REPELLANTS OR ATTRACTANTS; PLANT GROWTH REGULATORS
    • A01N51/00Biocides, pest repellants or attractants, or plant growth regulators containing organic compounds having the sequences of atoms O—N—S, X—O—S, N—N—S, O—N—N or O-halogen, regardless of the number of bonds each atom has and with no atom of these sequences forming part of a heterocyclic ring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Abstract

(A)N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される少なくとも1種の水溶性又は水分散性化合物と、
(B)少なくとも一種の研磨粒子と、
を含む水性研磨剤組成物。

Description

本発明は、水性研磨用組成物に関し、特に、N−置換ジアゼニウムジオキシド及び/又はN’−ヒドロキシジアゼニウムオキシド塩を含有する化学機械研磨(CMP)組成物に関する。
また、本発明は、電気、機械、及び光学デバイス製造用のN−置換ジアゼニウムジオキシド及び/又はN’−ヒドロキシジアゼニウムオキシド塩の新規な使用方法に関する。
さらに、本発明は、電気、機械及び光学デバイスの製造のために基板材料を研磨する新規な方法に関する。
引用された文献
本出願で引用した文献は、それら全てが本明細書に参考として組み込まれる。
化学的・機械的な平面化又は研磨(CMP)は、集積回路(IC)デバイスの局所的及び全体的な平面度を実現するための主要なプロセスである。この技術は、一般的には、回転基板の表面と研磨パッドとの間において、研磨剤及び活性化学物質である他の添加剤を含有する組成物又はCMPスラリーを、負荷の下で施す。従って、CMPプロセスは、研磨等の物理的方法に、例えば、酸化又はキレート化等の化学的方法を組み合わせる。基板材料の除去又は研磨においては、単純に物理的な作用或いは単純に化学的な作用を含むべきであるが、上記技術は、高速で均一な除去を実現するために、むしろ両者の相乗的組み合わせで構成されるので望ましくない。
この方法では、所望の平面度が達成されるまで、又はバリアサブレイヤー若しくは停止層が露出するまで、基板材料が取り除かれる。最終的には、平面状の欠陥のない表面が得られる。この表面は、その後のフォトリソグラフィー、パターニング、エッチング及び薄膜処理によって、適切な多層ICデバイスの製造が可能となす。
シャロートレンチアイソレーション(STI)は、一般的にパターニングされたウェーハ基板上において、二酸化ケイ素を選択的に取り除き、窒化ケイ素とすることを要求する特定のCMP用途の一つである。この場合、エッチングされたトレンチは、絶縁体材料(二酸化ケイ素)で過剰に充填され、例えば、停止層としての窒化ケイ素バリア膜を用いて研磨される。CMPプロセスでは、露出した窒化ケイ素及びトレンチの酸化ケイ素の除去を最小限としつつ、バリア膜から二酸化ケイ素を取り除いて終了する。
この方法では、窒化ケイ素の除去量に対する二酸化ケイ素材料の除去量の比を高くすることのできるCMPスラリーが要求される。当該技術分野ではこの比は、窒化物に対する酸化物の選択度とも呼ばれている。
セリア系CMPスラリーは、セリアの二酸化ケイ素への化学的親和性が高いことにより相当に高い窒化物に対する酸化物の選択度を実現するので、STI用途において相当の注目を受けている。なお、当該技術分野において、上記化学的親和性は、セリアの化学歯作用(chemical tooth action)とも呼ばれている。
それにもかかわらず、セリア系CMPスラリーの窒化物に対する酸化物の選択度は、該選択度を調整する添加剤により改善されなければならない。
したがって、P.W.Caterらは、「Electrochemical and Solid−State Letters, 8 (8) G218−G221 (2005) , Interfacial Reactivity Between Ceria and Silicon Dioxide and SilicoN,Nitride Surfaces, Organic Additive Effects,」において、窒化物に対する酸化物の選択度における、グルタミン酸、ピコリン酸、4−ヒドロキシ安息香酸、イミダゾール、酢酸、ギ酸、3−ヒドロキシピコリン酸、アントラニル酸、ピロールカルボン酸、シクロヘキサンカルボン酸、ピペラジン、ピリジン、2−フェニル酢酸、安息香酸、3−アミノフェノール、コハク酸、ベタイン、グリシン、プロリン、ベンゼンスルホン酸、モルホリン、サリチル酸、テレフタル酸、リンゴ酸、イソプロパノール、クエン酸、及びシュウ酸の影響を開示している。
Y.N.Prasadらは、「Electrochemical and Solid−State Letters, 9 (12) G337−G339 (2006), Role of Amino−Acid Absorption on Silica and SilicoN,Nitride Surfaces during STI CMP」において、プロリン及びアルギニンの影響を開示している。
Hyun−Goo Kangらは、「Journal of Material Research, volume 22−No. 3, 2007, pages 777 to 787,」では、シャロートレンチ内における化学的・機械的平面化によるSiO膜/Si膜の除去選択度において、研磨粒子のサイズ、及びセリアスラリー中のポリ(アクリル酸)の分子量による影響について開示している。
S.Kimらは、「Journal of Colloid and Interface Science, 319 (2008)」の48〜52ページにおいて、化学機械研磨(CMP)におけるアニオン性高分子電解質の吸収挙動を開示している。
S.V.Babuらは、「Electrochemical and Solid−State Letters, 7 (12) G327−G330 (2004), Slurry Additive Effects on the Suppression of SilicoN,Nitride Removal during CMP」において、アルギニン、リジン、プロリン、N−メチルグリシン、アラニン、グリシン、ピコリン酸、N,N−ジメチルグリシン、3−アミノ酪酸、及びイソニコチン酸の影響に関する調査が開示されている。
Jae−Dong Leeらは、「Journal of the Electrochemical Society, 149 (8) G477−G481, 2002−Effects of Nonionic Surfactants on Oxide−To−Polysilicon Selectivity during Chemical Mechanical Polishing」において、酸化物からポリシリコンへの選択度における、ポリエチレンオキシド(PEO)やエチレンオキシド−プロピレンオキシド−エチレンオキシドトリブロックコポリマー等の界面活性剤の影響について開示されている。しかしながら、窒化物に対する酸化物の選択度については対処されていない。
US5738800B、US6042741B、US6132637B、及びUS6218305Bには、錯化剤を含有するセリア系CMPスラリーが開示されている。この錯化剤は、例えば、リンゴ酸、酒石酸、グルコン酸、クエン酸、オルトジ−及びポリヒドロキシ安息香酸、フタル酸、ピロカテコール、ピロガロール、没食子酸、タンニン酸、及びそれらの塩である。また、セリア系CMPスラリーは、アニオン性、カチオン性、両性、又は非イオン性界面活性剤を含んでいる。セリア系CMPスラリーは、高い窒化物に対する酸化物の選択度を有することが主張されている。
US5759917B1、US6689692B1、及びUS6984588B2には、カルボン酸を含有するセリア系CMPスラリーが開示されている。このカルボン酸は、例えば、酢酸、アジピン酸、酪酸、カプリン酸、カプロン酸、カプリル酸、クエン酸、グルタル酸、グリコール酸、ギ酸、フマル酸、乳酸、ラウリン酸、リンゴ酸、マレイン酸、マロン酸、ミリスチン酸、シュウ酸、パルミチン酸、フタル酸、プロピオン酸、ピルビン酸、ステアリン酸、コハク酸、酒石酸、吉草酸、2−(2−メトキシエトキシ)酢酸、2−[2−(2−メトキシエトキシ)エトキシ]酢酸、ポリ(エチレングリコール)bis(カルボキシメチル)エーテル、並びにこれらの誘導体及び塩である。また、セリア系CMPスラリーは、例えば、硝酸塩、リン酸塩、及び硫酸塩等の水溶性の有機塩及び無機塩を含有する。セリア系CMPスラリーは、酸化ケイ素を研磨して窒化ケイ素膜で過剰充填することが主張されている。
US6299659B1には、セリア系CMPスラリーが開示されており、このセリア系CMPスラリーでは、窒化物に対する酸化物の選択度を向上させるために、研磨粒子が、シラン、チタネート、シクロエート、アルミニウム、及びリン酸の塩カップリング剤で処理される。
US2002/0034875A1、及びUS6626968B2には、界面活性剤、pH調整剤及び疎水性官能基を含むセリア系CMPスラリーが開示されている。pH調整剤は、例えば、水酸化カリウム、硫酸、硝酸、塩酸又はリン酸、並びに親水性官能基及び疎水性官能基を含有するポリマーである。ポリマーは、例えば、ビニルメチルエーテル(PVME)、ポリエチレングリコール(PEG)、ポリオキシエチレン23ラウリルエーテル(POLE)、ポリプロパン酸(PPA)、ポリアクリル酸(PM)、及びポリエーテルグリコールビスエーテル(PEGBE)である。しかし、このセリア系CMPスラリーは、窒化物に対する酸化物の選択度を向上させる。
US6436835B1には、水溶性のカルボン酸又はカルボン酸塩又はスルホン酸又はスルホン酸基を有する有機化合物を含有する、シャロートレンチアイソレーションプロセスのためのセリア系CMPスラリーが開示されている。上記カルボン酸又はカルボン酸塩又はスルホン酸又はスルホン酸基は、例えば、ポリアクリル酸、ポリメタクリル酸、ナフタレンスルホン酸ホルマリン縮合物、リンゴ酸、乳酸、酒石酸、グルコン酸、クエン酸、コハク酸、アジピン酸、フマル酸、アスパラギン酸、グルタミン酸、グリシン4−アミノ酪酸、6−アミノヘキサン酸、12−アミノラウリン酸、アルギニン、グリシルグリシン、ラウリルベンゼンスルホン酸、及びこれらのアンモニウム塩である。このセリア系CMPスラリーは高い窒化物に対する酸化物の選択度を有することが主張されている。
US6491843B1、US6544892B2、及びUS6627107B2には、窒化物に対する酸化物の選択度を改善するために、例えばリジン、アラニン、及びプロリン等のα−アミノ酸を含むセリア系CMPスラリーが開示されている。
US6616514Bには、水性媒体中で解離しない少なくとも3つのヒドロキシル基を有する有機ポリオールを含有するセリア系CMPスラリーが開示されている。或いは、少なくとも3つのヒドロキシル基を有する少なくとも一種のモノマーから形成されるポリマーが開示されており、このポリマーは、マンニトール、ソルビトール、マンノース、キシリトール、ソルボース、スクロース、及びデキストリン等の水性媒体で解離しない。これにより、窒化物に対する酸化物の選択度が改善される。
JP2005−336400Aには、水溶性縮合リン酸塩、水溶性炭酸塩、及び炭酸水素塩を含有するセリア系CMPスラリーが開示されている。水溶性縮合リン酸塩は、例えば、ピロリン酸塩、トリポリリン酸塩、及びヘキサメタリン酸塩である。セリア系CMPスラリーは、更に、水溶性有機溶媒を含んでいてもよい。水溶性有機溶媒は、例えば、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、エチレングリコール、プロピレングリコール、及び1,2,3−プロパントリオール、アセトン及びメチルエチルケトン等のケトン、テトラヒドロフラン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、並びに1,4−ジオキサンである。
US7071105B2及びUS2006/0144824A1には、4〜9のpKaを有する官能基を含む研磨添加剤を含有するセリア系CMPスラリーが開示されている。研磨添加剤は、アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒドロキサム酸、アミノカルボン酸、環式モノカルボン酸、不飽和モノカルボン酸、置換フェノール、スルホンアミド、チオール及びこれらの塩からなる群から選択され、特に、塩化物、臭化物、硫酸塩、スルホン酸塩、トリフルオロメチルスルホン酸塩、酢酸塩、トリフルオロ酢酸塩、ピクリン酸塩、ペルフルオロ酪酸塩、並びにナトリウム塩、カリウム塩及びアンモニウム塩である。
上述のアリールアミンとしては、アニリン、4−クロロアニリン、3−メトキシアニリン、N−メチルアニリン、4−メトキシアニリン、p−トルイジン、アントラニル酸、3−アミノ−4−ヒドロキシベンゼンスルホン酸、アミノベンジルアルコール、アミノベンジルアミン、1−(−アミノフェニル)ピロール、1−(3−アミノフェニル)エタノール、2−アミノフェニルエーテル、2,5−ビス−(4−アミノフェニル)−1,3,4−オキサジアゾール、2−(2−アミノフェニル)−1H−1、3,4−トリアゾール、2−アミノフェニル、3−アミノフェニル、4−アミノフェニル、ジメチルアミノフェノール、2−アミノチオフェノール、3−アミノチオフェノール、4−アミノメチルスルフィド、2−アミノベンゼンスルホンアミド、オルタニル酸、3−アミノベンゼンボロン酸、5−アミノイソフタル酸、スルファセタミド、スルファニル酸、o−又はp−アルサニル酸、及び(3R)−3−(4−トリフルオロメチルフェニルアミノ)ペンタン酸である
上述したアミノアルコールは、トリエタノールアミン、ベンジルジエタノールアミン、tris(ヒドロキシメチル)アミノメタン、ヒドロキシルアミン、及びテトラサイクリンである。
上述した脂肪族アミンは、例えばメトキシアミン、ヒドロキシアミン、N−メチルヒドロキシルアミン、N、O−ジメチルヒドロキシルアミン、β−ジフルオロエチレンアミン、エチレンジアミン、トリエチレンジアミン、ジエチル((ブチルアミノ)(2−ヒドロキシフェニル)メチル)ホスホネート、イミノエタン、イミノブタン、トリアリルアミン、シアノアミン(アミノアセトニトリル、ジメチルアミノアセトニトリル、2−アミノ−2−シアノプロパン、イソプロピルアミノプロピオンニトリル、ジエチルアミノプロピオンニトリル、アミノプロピオニトリル、ジシアノジエチルアミン)、ヒドラジン、メチルヒドラジン、テトラメチルヒドラジン、N、N−ジメチルヒドラジン、フェニルヒドラジン、N、N−ジエチルヒドラジン、トリメチルヒドラジン、エチルヒドラジン及びこれらの塩である。
上述した複素環式アミンは、イミダゾール、1−メチルイミダゾール、2−メチルイミダゾール、2−エチルイミダゾール、2−ヒドロキシメチルイミダゾール、1−メチル−2−ヒドロキシメチルイミダゾール、ベンゾイミダゾール、キノリン、イソキノリン、ヒドロキシキノリン、メラミン、ピリジン、ビピリジン、2−メチルピリジン、4−メチルピリジン、2−アミノピリジン、3−アミノピリジン、2,3−ピリジンジカルボン酸、2,5−ピリジンジカルボン酸、2,6−ピリジンジカルボン酸、5−ブチル−2−ピリジンカルボン酸、2−ピリジンカルボン酸、3−ヒドロキシ−2−ピリジンカルボン酸、4−ヒドロキシ−2−ピリジンカルボン酸、3−ベンゾイル−2−ピリジンカルボン酸、6−メチル−2−ピリジンカルボン酸、3−メチル−2−ピリジンカルボン酸、6−ブロモ−2−ピリジンカルボン酸、6−クロロ−2−ピリジンカルボン酸、3,6−ジクロロ−2−ピリジンカルボン酸、4−ヒドラジノ−3,5,6−トリクロロ−2−ピリジンカルボン酸、2−キノリンカルボン酸、4−メトキシ−2−キノリンカルボン酸、8−ヒドロキシ−2−キノリンカルボン酸、4,8−ヒドロキシ−2−キノリンカルボン酸、7−クロロ−4−ヒドロキシ−2−キノリンカルボン酸、5,7−ジクロロ−4−ヒドロキシ−2−キノリンカルボン酸、5−ニトロ−2−キノリンカルボン酸、1−イソキノリンスルホン酸、3−イソキノリンカルボン酸、アクリジン、ベンゾキノリン、ベンゾアクリジン、クロニジン、アナバシン、ノルニコチン、トリアゾロピリジン、ピリドキシン、セロトニン、ヒスタミン、ベンゾジアゼピン、アジリジン、モルホリン、1,8−ジアザビシクロ(5,4,0)ウンデセン−7、DABCO、ヘキサメチレンテトラミン、ピペラジン、N−ベンゾイルピペラジン、1−トシルピペラジン、N−カルボキシエチルピペラジン,1,2,3−トリアゾール、1,2,4−トリアゾール、2−アミノチアゾール、ピロール、ピロール−2−カルボン酸、3−ピロリン−2−カルボン酸、エチルピロリン、シクロヘキシルピロリン、トリルピロリン、テトラゾール、5−シクロプロピルテトラゾール、5−ヒドロキシテトラゾール、5−フェノキシテトラゾール、5−フェニルテトラゾール、フルオプラテンシル、メチルチオウラシル、5,5−ジフェニルヒドントイン、5,5−ジメチル−2,4−オキサゾリジンジオン、フタルイミド、スクシンイミド、3,3−メチルフェニルグルタルイミド、3,3−ジメチルスクシンイミド、イミダゾール[2,3−B〕チオキサゾール、ヒドロキシエミダゾ[2,3−a]インドール、5,5−メチルフェニルバルビツル酸、1,5,5−トリメチルバルビツル酸、ヘキソバルビタール、5,5−ジメチルバルビツル酸、1,5−ジメチル−5−フェニルバルビツル酸、およびその塩である。
特にヒドロキサム酸は、ホルモヒドロキサム酸、アセトヒドロキサム酸、ベンゾヒドロキサム酸、サリチルヒドロキサム酸、2−アミノベンゾヒドロキサム酸、2−クロロベンゾヒドロキサム酸、2−フルオロベンゾヒドロキサム酸、2−ニトロベンゾヒドロキサム酸、3−ニトロベンゾヒドロキサム酸、4−アミノベンゾヒドロキサム酸、4−クロロベンゾヒドロキサム酸、4−フルオロベンゾヒドロキサム酸、4−ニトロベンゾヒドロキサム酸、及びこれらの塩である。
上述したアミノカルボン酸は、グルタミン酸、β−ヒドロキシグルタミン酸、アスパラギン酸、アスパラギン、アザセリン、システイン、ヒスチジン、3−メチルヒスチジン、シトシン、7−アミノセファロ酸、及びカルノシンある。
上述した環状モノカルボン酸は、ナフタレン−2−カルボン酸、シクロヘキサンカルボン酸、シクロヘキシル酢酸、2−フェニル乳酸、4−ヒドロキシ安息香酸、3−ヒドロキシ安息香酸、2−ピリジンカルボン酸、シス−及びトランス−シクロヘキサンカルボン酸、安息香酸、並びにこれらの塩である。
上述した不飽和モノカルボン酸は、桂皮酸、アクリル酸、3−クロロプロパ−2−エンカルボン酸、クロトン酸、4−But−2−エンカルボン酸、シス−又はトランス−2−ペンタン酸、2−メチル−2−ペンタン酸、2−ヘキセン酸及び3−エチル−2−ヘキセン酸、並びにこれらの塩である。
上述したフェノールは、ニトロフェノール、2,6−ジハロ−4−ニトロフェノール、2,6−ジ−C1―12−アルキル−4−ニトロフェノール、2,4−ジニトロフェノール、3,4−ジニトロフェノール、2−C1―12−アルキル−4,6−ジニトロフェノール、2−ハロ−4,6−ジニトロフェノール、ジニトロ−o−クレゾール、ピクリン酸、及びこれらの塩である。
上述したスルホンアミドは、N−クロロトリルスルホンアミド、ジクロロフェンアミド、マフェニド、ニメスリド、スルファメチゾール、スルファペリン、スルファセタミド、スルファジアジン、スルファジメトキシン、スルファメタジン、スルファピリジン、スルファキノキサリン、及びこれらの塩である。
上述のチオール類は、二硫化水素、システアミン、N−(L−システイニル)−L−システイン、メチルシステイン、チオフェノール、p−クロロチオフェノール、O−アミノチオフェノール、O−メルカプトフェニル酢酸、p−ニトロベンゼンチオール、2−メルカプトエタンスルホン酸塩、N−ジメチルシステアミン、ジプロピルシステアミン、ジエチルシステアミン、メルカプトエチルモルホリン、メチルチオグリコール酸塩、メルカプトエチルアミン、N−トリメチルシステイン、グルタチオン、メルカプトエチルピペリジン、ジエチルアミノプロパンチオール及びこれらの塩である。
研磨添加剤は、窒化物に対する酸化物の選択度を増大させると考えられている。
US2006/0207188A1には、ポリマーの反応生成物を含有するセリア系CMPスラリーが開示されている。当該ポリマーは、例えば、ポリアクリル酸又はポリ(アルキルメタクリレート)、並びにアクリルアミド、メタクリルアミド、エチルメタクリルアミド、ビニルピリジン又はビニルピロリドン等のモノマーである。また、反応生成物は、窒化物に対する酸化物の選択度を増大させると考えられている。
US2006/0216935A1には、タンパク質、リジン及び/又はアルギニン並びにピロリドン化合物を含有するセリア系CMPスラリーが開示されている。なお、ピロリドン化合物は、例えば、ポリビニルピロリドン(PVP)、N−オクチル−2−ピロリドン、N−エチル−2−ピロリドン、N−ヒドロキシエチル−2−ピロリドン、N−シクロヘキシル−2−ピロリドン、N−ブチル−2−ピロリドン、N−ヘキシル−2−ピロリドン、N−デシル−2−ピロリドン、N−オクタデシル−2−ピロリドン、及びN−ヘキサデシル−2−ピロリドンである。さらに、セリア系CMPスラリーは、ポリアクリル酸、グリコール、及びポリグリコールのような分散剤を含んでいても良い。具体例としては、プロリン、ポリビニルピロリドン又はN−オクチル−2−ピロリドン、PPO/PEOブロックコポリマー、及びグルタルアルデヒドである。セリア系CMPスラリーは、積極的に、トレンチの二酸化ケイ素を除去することが無いと考えられ、これにより、最小段の高さをほぼ増加させることなく、端点を超えて拡張された研磨が可能となる。
US2007/0077865A1には、ポリエチレンオキシド/ポリプロピレンオキシドコポリマー(BASF社によりPluronicシリーズとして販売されている)を含むセリア系CMPスラリーが開示されている。また、このセリア系CMPスラリーは、例えば、2−ジメチルアミノ−2−メチル−1−プロパノール(DMAMP)、2−アミノ−2−エチル−1−プロパノール(AMP)、2−(2−アミノエチルアミノ)エタノール、2−(イソプロピルアミノ)エタノール、2−(メチルアミノ)エタノール、2−(ジエチルアミノ)エタノール、2−(2−ジメチルアミノ)エトキシ)エタノール、1,1’−[[3−(ジメチルアミノ)プロピル]イミノ]−bis−2−プロパノール、2−(2−ブチルアミノ)エタノール、2−(tert−ブチルアミノ)エタノール、2−(ジイソプロピルアミノ)エタノール、及びN−(3−アミノプロピル)モルホリンを含む。
さらに、セリア系CMPスラリーは、水酸化テトラメチルアンモニウム等の第四級アンモニウム化合物、塗膜形成剤、及び錯化剤を含む。塗膜形成剤は、例えば、アルキルアミン、アルカノールアミン、ヒドロキシルアミン、リン酸エステル、ラウリル硫酸ナトリウム、脂肪酸、ポリアクリレート、ポリメタクリレート、ポリビニルホスホン酸塩、ポリリンゴ酸塩、ポリスチレンスルホン酸塩、ポリビニル硫酸塩、ベンゾトリアゾール、トリアゾール、及びベンゾイミダゾールである。また、錯化剤は、例えば、アセチルアセトン、酢酸塩、グリコール酸塩、乳酸塩、グルコン酸塩、没食子酸、シュウ酸塩、フタル酸塩、クエン酸塩、コハク酸塩、酒石酸塩、リンゴ酸塩、エチレンジアミン四酢酸、エチレングリコール、ピロカテコール、ピロガロール、タンニン酸、ホスホニウム塩、及びホスホン酸である。セリア系CMPスラリーは、ポリシリコンに対して、酸化ケイ素及び/又は窒化ケイ素の良好な選択度を提供すると考えられる。
US2007/0175104A1には、水溶性ポリマー類から選択されるポリシリコン摩耗抑制剤を含むセリア系CMPスラリーが開示されている。上記水溶性ポリマー類は、アクリルアミド、メタクリルアミド、及びこれらのα−置換誘導体から選択される群からなる要素により置換される、N−モノ置換又はN,N−ジ−置換された骨格を有する。
また、水溶性ポリマー類は、ポリエチレングリコール、ポリビニルピロリドン、アルキルオキシレート直鎖脂肪族アルコール、及びアセチレン系ジオールのエチレンオキシド付加物である。更に、セリア系CMPスラリーは、アルギン酸、ペクチン酸、カルボキシメチルセルロース、寒天、カードラン、プルラン等の多糖類;ポリアスパラギン酸、ポリグルタミン酸、ポリリシン、ポリリンゴ酸、ポリメタクリル酸、ポリイミド酸、ポリマレイン酸、ポリイタコン酸、ポリフマル酸、ポリ(p−スチレンカルボン酸)、ポリアクリル酸、ポリアクリルアミド、アミノポリアクリルアミド、ポリグリオキザル酸、及びこれらの塩等のポリカルボン酸;ポリビニルアルコール等のビニルポリマー;並びにポリアクロレインである。セリア系CMPスラリーは、ポリシリコンにおける酸化ケイ素の選択度が非常に高い。
US2007/0191244A1には、重量平均分子量が30〜500であり、ヒドロキシル基若しくはカルボキシル基又はこの両方を含むセリア系CMPスラリーが開示されている。ヒドロキシル基又はカルボキシル基は、クエン酸塩、リンゴ酸塩、グルコン酸塩、酒石酸塩、2−ヒドロキシイソ酪酸塩、アジピン酸塩、オクタン酸、コハク酸、EDTA含有化合物、グルタン酸塩、メチレンコハク酸塩、マンノース、グリセロ−ガラクトヘプトース、エリスロ−マンノ−オクトース、アラビノ−ガラクトノノース、及びグルタミンである。さらに、セリア系CMPスラリーは、直鎖ポリマー酸又はアルコキシグリコール側鎖を有するグラフト型ポリマー酸を含有してもよい。セリア系CMPスラリーは、研磨されたウェーハの全体的平面度の改善を実現すると記載されている。
US2007/0218811A1には、pHが4〜7.5であり、分散剤、ポリカルボン酸、及び100〜1000ppmの濃度での3.2以下のpKaを有する第一の非解離性酸性基を有する強酸を含有したセリア系CMPスラリーが開示されている。この例によれば、アクリル酸及びメタクリル酸のポリマーが、アニオン性分散剤として挙げられ、ポリオキシエチレン誘導体は、ノニオン性分散剤として挙げられており、及びポリビニルピロリドンがカチオン性分散剤として記載されている。特に上述の強酸は、硫酸、塩酸、硝酸、リン酸、シュウ酸、マレイン酸、ピクリン酸、亜硫酸、チオ亜硫酸、アミド硫酸、塩素酸、過塩素酸、亜塩素酸、ヨウ化水素酸、過ヨウ素酸、ヨウ素酸、臭化水素酸、過臭素酸、クロム酸、亜硝酸、ジホスホン酸、トリポリリン酸、ホスフィン酸、ピコリン酸、ホスホン酸、イソニコチン酸、ニコチン酸、トリクロロ酢酸、ジクロロ酢酸、クロロ酢酸、シアノ酢酸、オキサロ酢酸、ニトロ酢酸、ブロモ酢酸、フルオロ酢酸、フェノキシ酢酸、o−ブロモ安息香酸、o−ニトロ安息香酸、o−クロロ安息香酸、p−アミノ安息香酸、アントラニル酸、フタル酸、フマル酸、マロン酸、酒石酸、クエン酸、o−クロロアニリン、2,2’−ビピリジン、4,4’−ビピリジン、2,6−ピリジンジカルボン酸、ピルビン酸、ポリスチレンスルホン酸、ポリスルホン酸、グルタミン酸、サリチル酸、アスパラギン酸、2−アミノエチルホスホン酸、リジン、アルギニン、イソロイシン、サルコシン、オルニチン、グアノシン、シトルリン、チロシン、バリン、ヒポキサンチン、メチオニン、リジン、及びロイシンである。上記セリア系CMPスラリーによれば、効率的な高速操作、及び容易なプロセス管理が可能となり、パターン密度の差に起因する膜厚における変動を小さくすることができる。
電子デバイスの製造、特に、半導体集積回路(ICs)の製造においては、とりわけ高い選択度のCMPを用いた高精度な方法を必要とする。
従来技術のセリア系CMPスラリーは、十分な窒化物に対する酸化物の選択度、ウェーハ面内の不均一性(WIWNU)、及びウェーハとウェーハの間の不均一性(WTWNU)によって例示される良好な全体及び局所的平面度を有するが、ICアーキテクチャの大きさが減少する場合であっても、特にLSI(大規模集積回路)又はVLSI(超大規模集積回路)を伴うICにおいては、集積回路装置における製造者に対して増加する技術的かつ経済的な要求を満たすために、セリア系CMPスラリーの一定の改善を必要とする。
しかし、従来のセリア系CMPスラリーに対する差し迫った要求は、集積回路装置の分野にのみ当てはまるものではなく、他の電子機器の分野においても研磨及び平面化効果の改良が必要である。なお、他の電子機器とは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、光電池、及び磁気ヘッドである。また、高精度の機械装置、及び光学デバイスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、例えば光ファイバ及びシンチレータの端面における光学単結晶、固体レーザ単結晶、青色レーザLED用のサファイア基板、半導体単結晶、及び磁気ディスク用のガラス基板である。
また、高精度機械装置の製造においては、高精度なCMPプロセス工程が要求される。従来技術セリア系CMPスラリーの主な欠点の1つは、それらが微生物や菌による攻撃を受けやすいということである。したがって、CMPスラリーは、細菌及び真菌が増殖することによりセリア研磨粒子に対して不可逆的凝集及び沈降がもたらされることで、当該セリア研磨粒子の粒度分布に悪影響を与えて保管について不安定になる。
一つの試みは、殺生物剤を添加することにより、この深刻な問題を改善することである。しかしながら、従来の殺生物剤は、また予測し得ない態様で、研磨剤の粒径分布を不安定にする恐れがある。
ドイツ特許公開DE3835370A1、US特許5393874、欧州特許公開EP0588249A1、及び国際公開WO90/01033には、N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩、これらの製造方法、並びにこれらの使用方法が記載されている。当該使用方法では、これら物質を、繊維、プラスチック、建物の材料や塗料系の仕上げに適した消毒剤として、殺菌剤及び防カビ剤ととともに木材防腐剤として使用することが記載されている。N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド酸塩は、研磨用組成物中、特にセリア系CMPスラリー中において使用することができる。
US5,738,800B US6,042,741B US6,132,637B US6,218,305B US5,759,917B US6,689,692 B1 US6,984,588 B2 US6,299,659 B1 US2002/0034875 A1 US6,626,968 B2 US6,436,835 B1 US6,491,843 B1 US6,544,892 B2 US6,627,107 B2 US6,616,514 B1 US7,071,105 B2 特開2005−336400 US2006/0144824 A1 US2006/0207188 A1 US2006/0216935 A1 US2007/0077865 A1 US2007/0175104 A1 US2007/0191244 A1 US2007/0218811 A1 DE38 35 370 A1 US5,393,874B EP0588249A1 WO90/01033A
従って、本発明の目的は、新規な水性研磨用組成物を提供すること、特に、新規な化学機械研磨(CMP)組成物、特に従来の研磨剤組成物の不利益及び欠点を示さない新規なセリア系CMPスラリーを提供することである。
特に、新規な水性研磨剤組成物、より詳細には新規な化学機械研磨(CMP)組成物、より詳細には新規なセリア系CMPスラリーは、大幅に改善された窒化物に対する酸化物の選択度を示し、良好な全体的及び局所的平面度を有する研磨ウェーハが得られる。このウェーハの良好な全体的及び局所的平面度は、ウェーハ内の不均一性(WIWNU)及びウェーハ間の不均一性(WTWNU)により例示されるものである。従って、ウェーハは、50nm以下のサイズを有する構造のICアーキテクチャ、特にLSI(大規模集積回路)又はVLSI(超大規模集積回路)を有するICsの製造において極めて好適である。
また、新規な水性研磨剤組成物、より詳細には新規な化学機械研磨(CMP)組成物、より詳細には新規なセリア系CMPスラリーは、集積回路装置の分野において非常に有用であるだけでなく、他の電気デバイスの製造の分野においても最も効率的かつ有利となるべきである。なお、他の電気デバイスとは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、並びに高精度機械デバイス及び光学デバイス、特に光学ガラスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶は、固体レーザ単結晶、青色LEDレーザ用のサファイア基板、半導体単結晶、並びに磁気ディスク用のガラス基板である。
最も具体的には、新規なセリア系CMPスラリーは、微生物及び真菌による攻撃を受けるべきではなく、したがって、長期の保管の間に細菌及び真菌の増殖が生じず、研磨セリア粒子の粒度分布が不安定とならないべきである。この結果として、セリア粒子の不可逆凝集及び沈降が生じるべきではない。
また、本発明の他の目的は、N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩の新規な使用方法を提供することである。
更に、本発明の目的は、機械デバイス、電気デバイス及び光学デバイスの基板材料を研磨するための新規な方法を提供することである。
従って、新規な水性研磨剤組成物を発見した。この研磨用組成物は、
(A)N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される少なくとも1種の水溶性又は水分散性化合物と、
(B)少なくとも一種の研磨粒子と、
を含む。
以下では、新規な水性研磨剤組成物は、「本発明の組成物」と記載する。
更に、機械、電気、及び光学デバイスの基板材料を研磨するための新規な方法を発見した。この方法では、本発明の組成物を用いる。
最後に、特に、機械デバイス、電気デバイス、及び光学デバイスを製造するためのN−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩の新規な使用方法を発見した。この使用方法を以下では本発明の使用方法と記載する。
本発明の目的が、本発明の組成物、本発明の方法及び本発明の使用方法によって解決できることは、驚きべきことであり、当業者にとって従来技術から容易に予測できものではない。
本発明の組成物は、驚くべきことに、窒化物に対する酸化物の選択度、並びにウェーハ面内の不均一性(WIWNU)及びウェーハ間の不均一性(WTWNU)によって例示される良好な全体的平面度及び局所的平面度を有する研磨ウェーハの収率について大幅な改善を示す。したがって、本発明の組成物は、50nm以下の大きさの構造を有するICアーキテクチャ、特にLSI(大規模集積回路)又はVLSI(超大規模集積回路)を備えるICsの製造に極めて適している。
さらに、本発明の組成物は、集積回路装置の分野において非常に有用であるだけでなく、他の電気デバイスの製造の分野においても最も効率的かつ有利となる。なお、他の電気デバイスとは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、並びに高精度機械デバイス及び光学デバイス、特に光学ガラスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶は、固体レーザ単結晶、青色LEDレーザ用のサファイア基板、半導体単結晶、並びに磁気ディスク用のガラス基板である。
最も具体的には、本発明の組成物は、微生物及び真菌による攻撃を受けず、したがって、長期の保管の間に細菌及び真菌の増殖が生じず、研磨セリア粒子の粒度分布のが不安定とならない。この結果として、セリア粒子の不可逆凝集及び沈降が生じない。
従って、本発明の組成物は、特に、本発明の方法にもっとも有用である。本発明の方法は、電気デバイス用の基板材料の研磨、特に化学的機械研磨に最も好適に用いられる。なお、電気デバイスは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、並びに高精度機械デバイス及び光学デバイス、特に光学ガラスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶は、固体レーザ単結晶、青色LEDレーザ用のサファイア基板、半導体単結晶、並びに磁気ディスク用のガラス基板である。
本発明の組成物は、水性組成物である。これは、組成物が主溶媒及び分散剤として水、特に超純水を含有することを意味する。それにもかかわらず、本発明の組成物は、本発明の組成物は、当該組成物の水性の性質を変えない程度の少量で少なくとも1種の水混和性有機溶媒を含有してもよい。
本発明の組成物は、当該組成物の全質量を基準として、好ましくは、60〜99.95質量%、より好ましくは70〜99.9質量%、さらに好ましくは90〜99.9質量%の水を含む。
本発明の組成物は、第一の必須成分又は要素の少なくとも一種として、好ましくは、一種の水溶性若しくは水分散性の化合物を含む。この化合物は、N−置換されたジアゼニウムジオキシド(A)、及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される。
「水溶性」とは、関連する化合物(A)が、水溶性媒体に分子レベルで分散することを意味する。一方で、「水分散性」とは、化合物(A)が水性媒体中に微細に分散し、安定した状態の懸濁液又は乳濁液、好ましくは安定した懸濁液を形成することができることを意味する。最も好ましくは、化合物(A)は水溶性である。
好ましくは、N−置換ジアゼニウムジオキシド(A)は、一般式Iのものである。
Figure 2013540850
一般式Iにおいて、Rは、少なくとも一種の残基を含む部分を表す。当該残基は、モノマー、オリゴマー、及びポリマー、置換及び非置換、飽和及び不飽和の脂肪族及び脂環式の基からなる群から選択され、これらの基は、少なくとも1個のヘテロ原子及び/又は少なくとも1つの二官能性又は三官能性架橋基を含まないか或いは含んでおり、モノマー、オリゴマー、及びポリマー、置換及び非置換、飽和及び不飽和の脂肪族及び脂環式の基は、少なくとも1個のヘテロ原子を含まないか或いは含んでいる。
一般式Iにおいて、nは1〜1000、好ましくは1〜500、より好ましくは1〜100、及びより好ましくは、1〜50、最も好ましくは、1〜10の数である。残基Rがオリゴマー又はポリマー部分である場合には、数nは必ずしも整数である必要はなく、端数があっても良い。これは、オリゴマー及びポリマー部分の統計的性質によるものである。残基Rは、モノマー部分である場合には、数nは、通常、整数である。
したがって、ジアゼニウムジオキシド基に関して、残基Rは、単官能又は多官能であっても良く、これは、残基Rが一種以上のジアゼニウムジオキシド基を含むことを意味する。
残基Rは、少なくとも1個のヘテロ原子及び/又は少なくとも1つの二官能性又は三官能性の架橋基を含む場合には、ジアゼニウムジオキシド基が、残基Rの炭素原子と結合していることが好ましい。
与えられた残基Rが、後により詳細に説明する上述の部分の一つからなっていても良く、また、残基Rは、後により詳細に説明する上述の部分を2種以上含んでいても良い。これら基が相互に異なっていても良く、少なくとも一つの共有結合により相互に連結されているか、及び/又は後に詳細に説明する上述の一種以上の架橋基により相互に結合されていても良い。
本発明において「モノマー」とは、関連する残基Rが、1つの特徴的な構造単位又は2つの特徴的な構造単位を含むか、これら構造単位から成るモノマー化合物R’から生じるものであることを意味する。モノマー化合物R’は、好ましくは40〜1000ダルトンの範囲の分子量を有する。
本発明において「オリゴマー」とは、関連する残基Rが、3〜約12個の特徴的な繰り返し構造単位を含むか、これら構造単位から成るオリゴマー化合物R’から生じるものであることを意味する。オリゴマー化合物R’は、好ましくは、100〜2500ダルトンの範囲の重量平均分子量Mwを有する。
本発明において「ポリマー」とは、関連する残基Rが、少なくとも12個の特徴的な繰り返し構造単位を含むか、これら構造単位から成るポリマー化合物R’から生じるものであることを意味する。ポリマー化合物R’は、好ましくは、500〜2000000ダルトン、より好ましくは1000〜1000000ダルトン、及び最も好ましくは5000〜500000ダルトンの範囲の重量平均分子量Mwを有する。
「非置換」とは、関連する残基Rが、下記のヘテロ原子を除き、炭素原子及び水素原子のみから成ることを意味する。
「置換」とは、関連する残基Rが、不活性、すなわち、本発明の組成物における化合物(A)の製造、処理、貯蔵、及び使用をする条件下で反応しない少なくとも一つの置換基を含むことを意味する。
好適な不活性置換基の例としては、フッ素、塩素、臭素等のハロゲン原子、ヒドロキシ基、カルボン酸基、スルホン酸基、ホスフィン酸基、ニトロ基、及びニトリル基、好ましくはフッ素原子及び塩素原子及びニトリル基である。
「飽和」とは、関連する残基Rが、任意のオレフィン系又はアセチレン系不飽和基を含まないことを意味する。従って、「不飽和」とは、関連する残基Rが、少なくとも1つのオレフィン系及び/又はアセチレン系不飽和基を含有することを意味する。
ヘテロ原子は、ホウ素、酸素、硫黄、窒素、リン、及びケイ素からなる群から選択されることが好ましく、最も好ましくは酸素及び窒素からなる群から選択される。
二官能性及び三官能性の架橋基は、上記の意味で不活性であることが好ましい。好適な二官能性及び三官能性架橋基の例は、炭酸エステル、チオ炭酸エステル、炭酸塩、チオ炭酸塩、リン酸エステル、チオリン酸エステル、ホスフィン酸エステル、チオホスホン酸エステル、亜リン酸塩、チオホスホン酸エステル、スルホン酸エステル、アミド、アミン、チオアミド、リン酸アミド、チオリン酸アミド、ホスホン酸アミド、チオホスホン酸アミド、スルホン酸アミド、イミド、ヒドラジド、ウレタン、尿素、チオ尿素、カルボニル、チオカルボニル、スルホン、及びスルホキシド基であり、特に好ましくは、炭酸塩、ウレタン、カルボニル及びカルボン酸エステル基、最も好ましくは炭酸エステル基である。
好ましくは、飽和、モノマー、脂肪族の部分Rが、直鎖又は分岐の脂肪族炭化水素R’から派生するものであり、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、1〜20、より好ましくは1〜16、さらに好ましくは1〜12、最も好ましくは1〜4個の炭素原子を分子中に有している。脂肪族炭化水素R’は、特に、メタン、エタン、プロパン、ブタン、イソブタン、ペンタン、イソペンタン、ネオペンタン、ヘキサン、イソヘキサン、ヘプタン、オクタン、イソオクタン、ノナン、デカン、ウンデカン、及びドデカン、特にメタン、エタン、プロパン、ブタン、及びイソブタンである。
好ましくは、置換、飽和、モノマー、脂肪族の部分Rは、直鎖又は分岐の脂肪族炭化水素R’から派生し、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、1〜20、より好ましくは1〜16、さらに好ましくは1〜12、最も好ましくは1〜4個の炭素原子を分子中に有しており、フッ素及び塩素からなる群から選択される少なくとも1個のハロゲン原子を有している。
好適には置換、飽和、モノマー、脂肪族の部分Rは、例えば、フルオロ、クロロ、ジフルオロ、ジクロロ、クロロフルオロ、トリフルオロ、トリクロロ、ジフルオロクロロ、及びフルオロジクロロメタン、並びに1,1−及び1,2−ジフルオロ、1,1−及び1,2−ジクロロ、1−クロロ−1−フルオロ、1−クロロ−2−フルオロ、1−ジフルオロ−2−フルオロ、2−トリフルオロ、1−ジクロロ−2−クロロ、2−トリクロロ、1−ジフルオロ−2−クロロ、2−ジフルオロクロロ、1−フルオロ−2−ジクロロ及び2−フルオロジクロロエタン、フルオロ、クロロ、ジフルオロ、ジクロロ、トリフルオロ、トリクロロ、テトラフルオロ、テトラクロロ、ペンタフルオロ、ペンタクロロ、ヘキサフルオロ、ヘキサクロロ、ヘプタフルオロ及びヘプタクロロプロパン、並びに混合フルオロクロロ置換プロパン、フルオロ、クロロ、ジフルオロ、ジクロロ、トリフルオロ、トリクロロ、テトラフルオロ、テトラクロロ、ペンタフルオロ、ペンタクロロ、ヘキサフルオロ、ヘキサクロロ、ヘプタフルオロ、ヘプタクロロ、オクタフルオロ、オクタクロロ、ノナフルオロ及びノナクロロブタン及びイソブタン、同様の混合フルオロクロロ置換ブタン及びイソブタンから派生するものである。
好ましくは、非置換、置換、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、直鎖又は分岐の脂肪族炭化水素R’から派生するものであり、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、2〜20、より好ましくは2〜16、さらに好ましくは2〜12、最も好ましくは2〜6個の炭素原子を分子中に有しており、2個の炭素原子の間に、少なくとも1個の窒素原子及び/又は酸素原子を有している。
特に好適な非置換、置換、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、例えば、ジメチルエーテル、メチルエチルエーテル、ジエチルエーテル、2,4−ジオキサペンタン、2,4−オキサヘキサン、3,6−ジオキサオクタン、ジメチルアミン、トリメチルアミン、ジエチルアミン、トリエチルアミン、ジプロピルアミン、及び2−オキサ−4−アザ−ペンタンから生じる。
好ましくは、置換、飽和、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、直鎖又は分岐の脂肪族炭化水素R’から派生し、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、2〜20、より好ましくは2〜16、さらに好ましくは2〜12、最も好ましくは2〜6個の炭素原子を分子中に有しており、2個の炭素原子の間に、少なくとも1個の窒素原子及び/又は酸素原子を有し、少なくとも1個のフッ素原子及び/又は塩素原子を有している。
例えば、置換、飽和、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、ジメチルエーテル、メチルエチルエーテル、ジエチルエーテル、2,4−ジオキサペンタン、2,4−オキサヘキサン、3,6−ジオキサオクタン、ジメチルアミン、トリメチルアミン、ジエチルアミン、トリエチルアミン、ジプロピルアミン、及び2−オキサ−4−アザ−ペンタンから派生することが好適である。なお、これらは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基で置換されてもよい。
好ましくは、置換又は非置換、飽和、モノマー、脂肪族の部分Rは、少なくとも一つの二官能性又は三官能性架橋基を有し、直鎖又は分岐の脂肪族炭化水素R’から派生し、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、2〜20、より好ましくは2〜16、さらに好ましくは2〜12、最も好ましくは2〜6個の炭素原子を分子中に有しており、さらに少なくとも一つの二官能性又は三官能性の架橋基を有する。関連する炭化水素R’は、少なくとも1つの置換基を有していてもよい。
置換又は非置換、飽和、モノマー、脂肪族の部分Rは、例えば、アセトン、メチルエチルケトン、ジエチルケトン、メチルブチルケトン、エチルブチルケトン、アセチルアセトン、ギ酸メチル、エチル、プロピル、ブチル及びペンチルエステル、酢酸メチル、エチル、プロピル及びブチルエステル、プロピオン酸メチル、エチル及びプロピルエステル、並びに酪酸メチル及びエチルエステルから派生する。なお、これらは、少なくとも1個のフッ素原子及び/又は塩素原子で置換されてもよい。
好ましくは、置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分Rは、直鎖状、分岐鎖状、超分岐鎖状、星型状、デンドリマー状、及び櫛歯状のホモポリマー及びコポリマーから派生する。このホモポリマー及びコポリマーは、エチレン、プロピレン、ブチレン、及びイソブチレンからなる。コポリマーは、ヘキセン及びオクテン等の共重合された高級オレフィンを少量含んでいても良い。上記ホモポリマー及びコポリマーは、少なくとも1個のフッ素原子及び/又は塩素原子により置換されてもよい。
置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分R(少なくとも1個のヘテロ原子、特に酸素原子を有する)は、例えば、アルキレンイミン(特にエチレンイミン)、アルキレンオキシド(特にエチレンオキシド、プロピレンオキシド、ブチレンオキシド)、テトラヒドロフラン、並びにビニルエーテル及びエステル(特にビニルメチル、エチル、プロピル及びブチルエーテル及びエステル)の、直鎖状、分岐鎖状、超分岐鎖状、星型状、デンドリマー状、及び櫛歯状のホモポリマー及びコポリマーから派生する。上記ホモポリマー及びコポリマーは、二官能性又は三官能性の上述の架橋基を含んでいても良い。
置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分R(少なくとも一つの二官能性又は三官能性、好ましくは二官能性の架橋基を含む)は、直鎖状、分岐鎖状、超分岐鎖状、星型状、デンドリマー状、及び櫛歯状のポリカーボネート、ポリウレタン、及び(メタ)アクリレート(コ)ポリマー、特にポリメチルアクリレート及びポリメチルメタアクリレートPMMAから派生することが好ましい。上記ホモポリマー及びコポリマーは、好ましくは少なくとも1個のフッ素及び/又は塩素原子により置換されてもよい。
置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分Rは、好ましくは、例えば、オレフィン、アセチレン、アクリレート、メタクリレート、ビニルエステル、ビニルエステル、アリルエーテル、アリルエステル等の慣用及び公知のオレフィン系又はアセチレン系不飽和モノマーから派生する。また、Rは、「Roempp Online 2010, Thieme Chemistry, www.roempp.com, “Terpene”, “Sesquiterpene”, “Diterpene”, and “Triterpene”」に記載されているモノテルペン、セスキテルペン、ジテルペン、及びトリテルペンから派生しても良い。さらに、Rは、モノテルペン、セスキテルペン、ジテルペン、及びトリテルペンを少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換したものから派生することが好ましい。
置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分Rは、例えば、エチレン、プロピレン、ブチレン、イソプレン、アセチレン、プロピン、メチル及びエチルアクリレート、メチルメタクリレート、ビニルエーテル及びエステルであり、特にビニルメチル、エチル、プロピル、及びブチルビニルエーテル及びエステル、並びにアリルメチル、エチル、プロピル、及びブチルエーテル及びエステル、並びにオシメン、ミルセン、シトラール、α−及びβ−イオノン及びプソイドイオノンである。上記モノマーは、少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換されてもよい。
好ましくは、置換又は非置換の、飽和の、脂環式の部分Rは、飽和の単環、二環、三環、四環の炭化水素から生じ、これら炭化水素は、少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換されてもよい。
特に好適な置換又は非置換の、飽和の、脂環式の部分Rの例は、シクロプロパン、シクロブタン、シクロペンタン、シクロヘキサン、シクロヘプタン、シクロオクタン、シクロデカン、o−、m−及びp−メンタン、メントン、カラン、ピナン、カロン、ボルニルクロリド、イソボルニルクロリド、樟脳、ボルナン、ノルボルナン、8.9.10−トリノルボルナン、スピロ[3.3]ヘプタン、スピロビシクロヘキサン、デカリン、ヒドリンダン、ノルカンファン、ビシクロ[2.2.1]オクタン、アダマンタン、ツイスタン、及びコングレサンである。これらは、少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換されてもよい。
好ましくは、置換又は非置換の、飽和の、脂環式の部分R(少なくとも1個のヘテロ原子を含む)は、少なくとも1個のヘテロ原子を含む飽和の単環、二環、四環の炭化水素から生じる。この炭化水素は、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されてもよい。
置換又は非置換の、飽和の、脂環式の部分R(少なくとも1個のヘテロ原子、特に少なくとも1つのフッ素原子及び/又は酸素原子を含む)は、例えば、テトラヒドロフラン、1,4−ジオキサン、γ−ブチプラテンクトン、ε−カププラテンクタム、モルホリン、ウレチジン、イソオキサゾリジン、ピロリジン、イミダゾリン、ピラゾリジン、ピペリジン、ピペラジン、及びキヌクリジンから派生することが好ましい。なお、これらは、少なくとも1個のフッ素原子及び/又は特定の塩素原子及び/又はニトリル基により置換されてもよい。
好ましくは、置換又は非置換の、不飽和の、脂環式の部分Rは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換することが可能な、不飽和の単環、二環、三環、四環の炭化水素から派生する。
置換又は非置換の、不飽和の、脂環式の部分Rは、例えば、シクロプロペン、シクロブテン、シクロペンテン、シクロペンタジエン、シクロヘキサ−1,3−1,2−ジエン、シクロヘプテン、シクロオクテン、シクロデセン、α−及びγ−テルピネン、テルピネン、α−及びβ−フェランドレン、リモネン、ジペンテン、プレゴン、カルボン、カルベノン、α−及びβ−ピネン、ビサボレンカジネン、β−セリネン、カンフェン、及びスピロ[4.5]デカ−1,6−ジエンから派生し、これらは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されてもよい。
置換又は非置換の、飽和の、脂環式の部分R(少なくとも1個のヘテロ原子を含む)は、少なくとも1個のヘテロ原子を含む不飽和、単環、二環、三環、四環の炭化水素からから派生し、この炭化水素は、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されてもよい。
置換又は非置換の、不飽和脂環式の部分R(少なくとも1個のヘテロ原子、特に少なくとも1個の窒素原子及び/又は酸素原子を含む)は、例えば、2H−ピラン、2H−ピロール、Δ−イミダゾリン、Δ−ピロリン、Δ−ピラゾール、ピロレニン(pyrrolenine)、及びΔ−イソオキサゾリン(isoxazonine)から生じる。なお、炭化水素を、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換してもよい。
好ましくは、置換及び非置換の、モノマーの、芳香族部分Rは、単環式及び多環式の芳香族化合物、特に、ベンゼン、ビフェニル、ターフェニル、ジフェニルエーテル、ジフェニルアミン、ジフェニルケトン、ジフェニルスルフィド、ジフェニルスルホキシド、ジフェニルスルホン、ナフタレン、インダン、フルオラン、フルオレノン、アントラセン、及びフェナントレンから派生する。なお、炭化水素を、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換してもよい。
好ましくは、置換及び非置換の、オリゴマー及びポリマーの、芳香族部分Rは、オリゴマー及びポリマーを含有する芳香族基から生じる。オリゴマー及びポリマーは、例えば、ポリエステル、特にポリ(エチレンテレフタレート)PET、及びポリ(ブチレンテレフタレート)PBT、ポリエーテル、特にポリ(2,6−ジメチルフェニレンオキシド)等のポリ(フェニレンオキシド)、並びにスチレンのホモポリマー及びコポリマーである。なお、オリゴマー及びポリマーを、好ましくは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換してもよい。
好ましくは、置換及び非置換の、モノマーの、芳香族部分R(少なくとも1個のヘテロ原子を含む)は、単環式及び多環式の複素環式芳香族化合物から派生する。なお、単環式及び多環式の複素環式芳香族化合物は、特に酸素、硫黄及び/又は窒素を含む複素環式芳香族化合物であり、複素環式芳香族化合物は、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されたものでも良い。
好ましくは置換及び非置換の、モノマーの、芳香族部分Rは、例えば、フラン、チオフェン、ピロール、イミダゾール、ピラゾール、イソチアゾール、イソオキサゾール、トリアゾール、ピリジン、ピラジン、ピリミジン、ピリダジン、ベンゾチオフェン、チアントレン、イソゼンゾフラン、フェノキサチイン、インドリジン、イソインドール、インドール、プリン、イソキノリン、キノリン、フタラジン、1,8−ナフチリジン、キノキサリン、キナゾリン、シンノリン、プテリジン、カルバゾール、アクリジン、及びフェナントリジンから派生する。これらは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されたものでも良い。
上述のように、部分Rは、一般式IのRを構成するように相互に任意に組み合わせることができる。従って、例えば、ベンゼンから派生する部分Rは、N−置換ジアゼニウムジオキシド(A)がより水溶性を持つように、エチレンオキシドのコポリマーからエーテル基を介して派生する部分Rと組み合わせることができる。
より好ましくは、部分Rは、モノマーの、飽和の、脂肪族及び脂環式及びモノマー芳香族化合物、さらに好ましくは、非置換の、モノマーの、飽和の、脂肪族及び脂環式及び無置換のモノマー芳香族化合物、特に、メタン、エタン、プロパン、ブタン、シクロペンタン、シクロヘキサン、及びベンゼンから派生するものである。
最も好ましくは、N−置換ジアゼニウムジオキシド炭素(A)が、N−メチル−、N−エチル−、N−プロピル−、N−ブチル、N−シクロヘキシル−、及びN−フェニル−ジアゼニウムジオキサイドからなる群から選択される。
好ましくは、N−置換N’−ヒドロキシジアゼニウムオキシド塩(A)は、一般式IIで表される。
Figure 2013540850
なお、式中、Rは、上述した部分であり、n及びmは、ともに1〜1000であり、好ましくは1〜500であり、より好ましくは1〜100であり、さらにより好ましくは、1〜50であり、最も好ましくは、1〜10である。
残基Rは、オリゴマー又はポリマー部分であり、数n及びmは、必ずしも整数である必要はなく、端数でも良い。これは、オリゴマー及びポリマー部分の統計的性質によるものである。残基Rは、モノマー部分である場合には、n及びmは通常は整数である。
Mは、有機及び無機の、モノマー、オリゴマー及びポリマーのカチオンからなる群から選択されるカチオンである。
好適なモノマー有機カチオンは、例えば、第一級、第二級、第三級及び第四級アンモニウムカチオン、第一級、第二級、第三級及び第四級ホスホニウムカチオン、並びに第一級及び第二級スルホニウムカチオン、特に、テトラメチルアンモニウムカチオンである。
好適なオリゴマー及びポリマーカチオンは、例えば、第一級、第二級、第三級及び第四級アンモニウムカチオン、第一級、第二級、第三級及び第四級ホスホニウムカチオン、並びに第一級及び第二級スルホニウムカチオン、特に、カチオン性ポリエチレンイミンである。
好適な無機カチオンは、例えば、アンモニア、リチウム、ナトリウム、カリウム、ルビジウム、セシウム、マグネシウム、カルシウム、ストロンチウム、バリウム、アルミニウム、ガリウム、インジウム、ゲルマニウム、スズ、鉛、アンチモン、ビスマス、スカンジウム、イットリウム、ランタン、希土類金属、チタン、ジルコニウム、ハフニウム、バナジウム、ニオブ、タンタル、クロム、モリブデン、タングステン、マンガン、レニウム、鉄、ルテニウム、オスミウム、コバルト、ロジウム、イリジウム、ニッケル、パラジウム、白金、銅、銀、金、亜鉛、及びカドミウムのカチオン、好ましくは、アンモニア、リチウム、ナトリウム、及びカリウムのカチオンである。
最も特に好ましく、N’−ヒドロキシ−ジアゼニウムジオキシド塩(A)は、N−メチル−、N−エチル−、N−プロピル−、N−ブチル、N−シクロヘキシル−、及び−Nフェニル−N’−ヒドロキシ−ジアゼニウムジオキシドアンモニウム、リチウム、ナトリウム、及びカリウムの塩から成る群から選択される。
本発明の組成物において、N−置換ジアゼニウムジオキシド塩(A)の濃度及び/又はN’−ヒドロキシジアゼニウムオキシド塩(A)の濃度は、大きく変更することができ、したがって、当該濃度は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。好ましくは、本発明の組成物は、その全質量を基準として、0.01〜1000ppm、より好ましくは0.05〜750ppm、さらに好ましくは0.075〜500ppm、最も好ましくは0.1〜500ppmの濃度で化合物(A)を含む。
本発明の組成物における第二の必須成分は、少なくとも一種の研磨粒子(B)である。
基本的に、研磨(特に、化学的・機械的な研磨又は平面化(CMP))の分野で従来使用される任意の天然又は合成研磨粒子材料を、構成要素(B)として用いることができる。研磨粒子(B)は、好ましくは、アルミナ、シリカ、窒化ケイ素、炭化ケイ素、チタニア、ジルコニア、セリア、酸化亜鉛、及びこれらの混合物からなる群から選択される。
研磨粒子(B)の平均粒径は大きく変更することができ、したがって、当該平均粒径は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。
動的レーザ光散乱により測定される平均粒径は、好ましく、1〜2000nm、好ましくは1〜1000nm、より好ましくは1〜750nm、最も好ましくは1〜500nmである。
最も好ましくは、研磨粒子(B)がセリアを含有するか、セリアから成る。
セリアを含有する研磨粒子(B)は、他の希土類金属酸化物を少量含有していても良い。
セリアを含有する研磨粒子(B)は、好ましくは、少なくとも一種の他の研磨粒子を含む又は他の研磨粒子から成る複合粒子(B)である。なお、この研磨粒子は、特にアルミナ、シリカチタニア、ジルコニア、酸化亜鉛、及びこれらの混合物とは異なる材料である。
上述の複合粒子(B)は、例えば、WO2005/035688A1、US6110396、US6238469B1、US6645265B1、K.S.Choiらによる「Mat. Res. Soc. Symp. Proc. Vol. 671, 2001 Materials Research Society, M5.8.1 to M5.8.10,」、S.−H.Leeらによる「J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 to 2749」、A. Jindalらによる「Journal of the Electrochemical Society, 150 (5) G314−G318 (2003)」、Z. Luによる「Journal of Materials Research, Vol. 18, No. 10, OctoBer 2003, Materials Research Society」、又はS. Hedgeらによる「Electrochemical and Solid−State Letters, 7 (12) G316−G318 (2004)」により知られている。
最も好ましくは、複合粒子(B)が、アルミナ、シリカチタニア、ジルコニア、酸化亜鉛、及びこれらの混合物から成る群から選択されるコアを含むラズベリー形の被覆粒子であり、コアの径は20〜100nmである。コアは、10nm未満の粒子径を有するセリア粒子により被覆される。
本発明の組成物における研磨粒子(B)の量は大きく変更することができ、したがって、当該平均粒径は、本発明の組成物、方法、及び使用方法の特定の要求に合わせて、有利に調整することができる。本発明の組成物は、当該組成物の全質量を基準として、好ましく、0.005〜10質量%、より好ましくは0.01〜8質量%、最も好ましくは0.01〜6質量%の研磨粒子(B)を含む。
本発明の組成物は、構成要素又は成分(A)及び(B)とは異なる少なくとも一種の官能性成分(C)を含んでいても良い。
官能性成分(C)は、好ましくは、通常、セリア系CMPスラリーに使用される化合物の群から選択される。このような化合物(C)の例は、冒頭に記載されているように、例えば、Y.N.Prasadらによる「Electrochemical and Solid−State Letters, 9 (12) G337−G339 (2006)」、Hyun−Goo Kangらによる「Journal of Material Research, volume 22, No. 3, 2007の 777〜787ページ」、S.Kimらによる「Journal of Colloid and Interface Science, 319 (2008)の48〜52ページ」、S.V.Babuらによる「Electrochemical and Solid−State Letters, 7 (12) G327−G330 (2004)」、Jae−Dong Leeらによる「Journal of the Electrochemical Society, 149 (8) G477−G481, 2002」、US5738800、US6042741、US6132637、US6218305B、US5759917、US6689692B1、US6984588B2、US6299659B1、US6626968B2、US6436835B1、US6491843B1、US6544892B2、US6627107B2、US6616514B1、US7071105B2、US2002/0034875A1、US2006/0144824A1、US2006/0207188A1、US2006/0216935A1、US2007/0077865A1、US2007/0175104A1、US2007/0191244A1、US2007/0218811A1、及びJP2005−336400Aに開示されている。
また、官能性成分(C)は、粒子(B)とは異なる有機、無機、及び有機−無機混合の研磨粒子、下限臨界溶液温度LCST及び上限臨界溶液温度UCSTを有する材料、酸化剤、不動態化剤、電荷反転剤、有機ポリオール、オリゴマー及びポリマー、錯化剤又はキレート剤、摩擦調整剤、安定化剤、レオロジー調整剤、界面活性剤、金属カチオン、並びに有機溶媒から成る群から選択される。また、上記有機ポリオールは、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する。更に、オリゴマー及びポリマーは、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のモノマーから形成される。
好適な有機研磨粒子(C)及びその有効量は、例えば、US2008/0254628A1の4ページにおける段落[0054]に、又はWO2005/014753A1に記載されている。当該文献においては、アセトグアナミン、ベンゾグアナミン及びジシアンジアミド等のメラミン及びメラミン誘導体から成る固体粒子が開示されている。
好適な無機研磨粒子(C)及びその有効量は、例えばWO2005/014753A1の12ページにおける1〜8行目、又はUS6068787Bの第6欄41行目〜第7欄65行目において開示されている。
好適な機−無機混合の研磨粒子(C)及びその有効量は、例えば、US2008/0254628A1の4ページの段落[0054]、又はUS2009/0013609A1の3ページの段落[0047]〜6ページの段落[0087]に開示されている。
好適な酸化剤(C)及びその有効量は、例えば、EP1036836A1の8ページの段落[0074]〜[0075]、又はUS6068787Bの第4欄40行目〜第7欄45行目、又はUS7300601B2の第4欄18行目〜34行目において開示されている。好ましく、有機及び無機過酸化物、より好ましくは無機過酸化物が使用される。特に、過酸化水素が使用されている。
好適な不動態化剤(C)及びその有効量は、例えば、US7300601B2の第3欄59行〜第4欄9行目、又はUS2008/0254628A1の段落[0058]の4〜5ページに亘って記載されている。
摩擦調整剤(US2008/0254628A1の5ページの段落[0061]参照)、又はエッチング剤若しくはエッチャント剤(US2008/0254628A1の4ページの段落[0054])として指定されることのある錯化剤又はキレート剤(C)及びその有効量は、例えば、US7300601B2の第4欄の35行目〜48行目に記載されている。アミノ酸、特にグリシン、さらには、ジシアンジアミド及びトリアジンであり、少なくとも1つ、好ましくは2つ、さらに好ましくは3つの第1級アミン基を含むものが、最も好ましく使用される。この3つの第1級アミン基を含むものは、例えば、メラミン及び水溶性グアナミン、特にメラミン、ホルモグアナミン、アセトグアナミン、及び2,4−ジアミノ−6−エチル−1,3,5−トリアジンである。
好適な安定化剤(C)及びその有効な量は、例えば、US6068787Bの第8欄の4行目〜56行目に開示されている。
好適なレオロジー調整剤(C)及びその有効な量は、例えば、US2008/0254628A1の5ページの段落[0065]〜6ページの段落[0069]に開示されている。
好適な界面活性剤(C)及びその有効な量は、例えば、WO2005/014753A1の8ページの23行目〜10ページの17行目、又はUS7300601B2の第5欄の4行目〜第6欄の8行目に開示されている。
好適な多価金属イオン(C)及びその有効な量は、例えば、EP1036836A1の8ページの段落[0076]〜9ページの段落[0078]に開示されている。
好適な有機溶媒(C)及びその有効な量は、例えば、US7361603B2の第7欄の行32行目〜48行目、又はUS2008/0254628A1の5ページの段落[0059]に開示されている。
下限臨界溶液温度LCST又は上限臨界溶液温度UCSTを示す好適な材料(C)は、例えば、H. Mori、 H. Iwaya、A. Nagai 、及びT. Endoによる論文「Controlled synthesis of thermoresponsive polymers derived from L−proline via RAFT polymerization, in Chemical Communication, 2005, 4872−4874」、若しくはD. Schmaljohannによる論文「Thermo− and pH−responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655−1670」、US2002/0198328A1、US2004/0209095A1、US2004/0217009A1、US2006/0141254A1、US2007/0029198A1、US2007/0289875A1、US2008/0249210A1、US2008/0050435A1、若しくはUS2009/0013609A1、US5057560B、US578882B、及びUS6682642B2、WO01/60926A1、WO2004/029160A1、WO2004/0521946A1、WO2006/093242A2、若しくはWO2007/012763A1、EP0583814A1、EP1197587B1、及びEP1942179A1、若しくはDE2610705に記載されている。また、上記材料は、商標名「Pluronic」「Tetronic」、及び「Basensol」として、BASF社及びBASF SEによって販売されている。この販売については、BASF社の会社パンフレット「PluronicTM & TetronicTM Block Copolymer Surfactants, 1996」、又はUS2006/0213780A1に記載されている。
第1の有利なかつ好ましい実施形態においては、本発明の組成物は、少なくとも1つの電荷反転剤(C)を含む。
原則として、従来においてCMPの分野で使用される任意の公知の電荷反転剤(C)を用いることができる。電荷反転剤(C)は、好ましくは、モノマーの、オリゴマーの及びポリマーの化合物から成る群から選択される。また、この化合物は、カルボン酸塩、スルフィン酸、硫酸塩、ホスホン酸塩、及びリン酸基からなる群から選択される少なくとも1つのアニオン性基を含む。特に好適な電荷反転剤(C)は、例えば、US72065055B2、第4欄の24行目〜は45行目、又はJP2005−336400A(請求項1〜6参照)に記載されている。
本発明の組成物における電荷反転剤(C)の濃度は大きく変更することができ、したがって、当該濃度は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。好ましくは、電荷反転剤(C)は、該電荷反転剤(C)に対するセリアの質量比が、10〜2000、及び20〜1000となるような量で使用される。
第2の有利なかつ好ましい実施形態においては、本発明の組成物は、少なくとも一種の有機ポリオール(C)、より好ましくは、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも2種の有機ポリオール(C)、及び/又は水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のモノマーから構成されるオリゴマー及びポリマーを含む。
より好ましくは、有機ポリオール又はポリオール(C)は、単糖類、二糖類、オリゴ糖類、多糖類、デオキシ糖、アミノ糖、アルドン酸、ケトアルドン酸、ウロン酸、アルダル酸、糖アルコール、及びシクリトールから成る群から選択される。さらに好ましくは、有機ポリオール又はポリオール(C)は、単糖類、及びシクリトールから成る群から選択され、特に好ましくは、ガラクトース、並びにmyo−、 scyllo−、 muco−、 chiro−、 neo−、 allo−、 epi− 、及びcis−イノシトールから成る群から選択される。最も好ましくは、ガラクトース及びmyo−イノシトールは、有機ポリオール(C)として使用される。
本発明の組成物における有機ポリオール(C)の濃度は、大きく変更することができ、したがって、当該濃度は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。好ましくは、本発明の組成物は、その全質量を基準として、0.001〜5質量%、より好ましくは0.005〜4質量%、さらに好ましくは0.01〜2質量%、及び最も好ましくは0.01〜1質量%の量で有機ポリオール(C)を含有する。
第3の最も有利なかつ最も好ましい実施形態においては、本発明の組成物は、上述の電荷反転剤(C)及び有機ポリオール(C)を含有する。
存在する場合には、官能性成分(C)の含有量を様々に変更することができる。好ましくは、(C)の合計量が、対応する組成物の全質量に対して10wt%以下(wt%は質量%を意味する)、より好ましくは2質量%以下、最も好ましくは、0.5質量%以下、特に好ましくは0.1質量%以下、例えば0.01質量%以下である。
本発明の組成物は、任意に、構成要素(A)及び(B)とは物質的に異なる少なくとも一種のpH調節剤、又は緩衝剤(D)を含有していても良い。
好適なpH調節剤又は緩衝剤(D)及びその有効な量は、例えば、EP1036836A1の8ページの段落[0080]、[0085]、及び[0086]、WO2005/014753A1の12ページの19行目〜24行目、US2008/0254628A1の6ページの段落[0073]又はUS7300601B2の第5欄の33行目〜63行目に記載されている。pH調整剤又は緩衝剤(D)は、例えば、水酸化カリウム、水酸化アンモニウム、水酸化テトラメチルアンモニウム(TMAH)、硝酸、及び硫酸である。
存在する場合には、pH調節剤又は緩衝剤(D)の含有量を様々に変更することができる。好ましくは、(D)の合計量が、対応する組成物の全質量に対して20質量%以下、より好ましくは7質量%以下、最も好ましくは2質量%以下、特に0.5質量%以下、例えば0.1質量%以下である。好ましくは、(D)の合計量は、対応する組成物の全質量に対して少なくとも0.001質量%、より好ましくは少なくとも0.01質量%、最も好ましくは少なくとも0.05質量%、特に少なくとも0.1質量%、例えば少なくとも0.5質量である。
好ましくは、本発明の組成物のpHは、好ましくは上記pH調整剤(D)を用いて、3〜10、より好ましくは4〜8、さらにより好ましくは4〜7、最も好ましくは5〜7に設定される。
本発明の組成物の製造について詳細は示さないが、当該製造は、上述の成分(A)及び(B)並びに(C)及び/又は(D)を、水性媒体(特に脱イオン水)中で溶解又は分散させることで実行することができる。このために、撹拌槽、インライン溶解機、高剪断インペラ、超音波ミキサー、ホモジナイザーノズル又は向流ミキサー等の従来の標準的な混合方法及び混合装置を使用することができる。従って、好ましくは、得られた本発明の組成物は、固体の塊又は凝集体等の粗い粒子を除去して細かく分散された研磨粒子(B)を得るために、適切なメッシュ開口のフィルターを通して濾過することができる。
最も驚くべきことに、N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩(A)は、本発明の使用方法、すなわち、機械、電気、光学デバイスの製造に最も適している。
特に、電気デバイスとしては、集積回路装置、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、機械装置としての高精度機械装置であり、及び光学デバイスが、フォトマスク等の光学ガラス、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、例えば光ファイバ及びシンチレータの端面における光学単結晶、固体レーザ単結晶、青色レーザLED用のサファイア基板、半導体単結晶、及び磁気ディスク用のガラス基板である。
より好ましくは、N−置換ジアゼニウムジオキシド、N’−ヒドロキシジアゼニウムオキシド塩(A)、及びこれらが含まれる本発明の組成物は、特に大規模集積回路又は超大規模集積回路をともなう集積回路(50nm未満の大きさの構造を有する)の製造に使用される。
最も好ましくは、本発明の組成物は本発明の方法に極めて適している。
本発明の方法においては、電気、機械、及び光学デバイス用、特に電気デバイス、最も好ましくは集積回路装置用の基板材料を、本発明の組成物に1回以上接触させて研磨(特に機械及び化学研磨)し、所望の平面度が実現される。
本発明における方法は、low−k材料又はultra−low−k材料及び窒化ケイ素層及び/又はポリシリコン層から成る絶縁層を有するシリコン半導体ウェーハのCMPに対して特に有効である。
好適なlow−k材料又はultra−low−k材料、及び好適な絶縁性絶縁体層を製造する方法は、例えば、US2005/0176259A1の2ページの段落[0025]〜[0027]、US2005/0014667A1の1ページの段落[0003]、US2005/0266683A1の1ページの段落[0003]及び2ページの段落[0024]、US2008/0280452A1の段落[0024]〜[0026]、US7250391B2の第1欄の49行目〜54行目、又はEP1306415A2の4ページの段落[0031]に記載されている。
本発明の方法は、特にパターン化されたウェーハ基板で二酸化ケイ素を選択的に除去して窒化ケイ素とするシャロートレンチアイソレーション(STI)に適している。この方法においては、エッチングされたトレンチが、停止層としての窒化ケイ素バリア膜を用いて研磨される二酸化ケイ素等の絶縁体材料で過剰充填される。好ましい実施の形態において、本発明の方法が、さらされた窒化ケイ素及びトレンチの酸化ケイ素の除去を最小限に抑えつつ、バリア膜から二酸化ケイ素を除去して終了する。
本発明における方法の詳細は示さないが、本発明の方法は、ICsとともに半導体ウェーハを製造する際におけるCMPに従来使用されていた方法及び設備を用いて行うことができる。
当技術分野で知られているように、CMP用の典型的な装置は、研磨パッドで覆われて回転するプラテンから構成される。ウェーハは、その上面側が研磨パッドに対向するようにキャリア又はチャックに取付けられる。このキャリアは、ウェーハを水平に固定する。
デバイスの研磨及び保持におけるこの特定の配置は、硬質プラテン設計として知られている。キャリアは、キャリアの保持面と研磨されていないウェーハの表面との間に位置するキャリアパッドを保持することができる。このパッドは、ウェーハ用のクッションとして機能させることができる。
キャリアの下方には、より大きな直径のプラテンが概ね水平に配置され、研磨されるウェーハの面に対して平行な面を呈している。平面化プロセス中に、プラテンの研磨パッドにウェーハ表面が接触する。本発明のCMPプロセスの間に、本発明の組成物は、連続流又は滴下方式で研磨パッドに塗布される。
キャリアとプラテンは双方とも、該キャリアとプラテンに対して直交して延びるそれぞれのシャフトの周りを回転するようになっている。回転キャリアのシャフトは、回転プラテンに対して固定されたままであるか、又はプラテンに対して水平に振動するようになっていても良い。キャリアの回転方向は、通常、プラテンの回転方向と同一であるが、必ずしもこれに限られない。キャリアとプラテンの回転速度は、通常、異なる値に設定されるが、必ずしもこれに限られない。
慣例的には、プラテンの温度は10〜70℃の間の温度に設定される。
さらなる詳細は、WO2004/063301A1において、図1とともに、特に16ページの段落[0036]〜18ページの段落[0040]に記載されている。
本発明の方法によれば、パターン化されたlow−k材料及びultra−low−k材料の層、特に二酸化ケイ素層を含み、極めて良好な平面度を有するICsの半導体ウェーハが得られる。従って、完成後のICに優れた平面度及び優れた電気的機能を与える銅のダマシン模様が得られる。
(実施例)
N−シクロヘキシル−N’−ヒドロキシジアゼニウムジオキシドカリウム塩を含む組成物1〜3(実施例1〜3)、並びに組成物C1及びC2(比較実験C1及びC2)の製造。
実施例1〜3及び比較実験C1及びC2のために、組成物1〜3、C1、及びC2を、超純脱イオン水中に成分を溶解及び分散させることにより製造した。表1には、使用される成分の量を示す。
表1:組成物1〜3、C1、及びC2の製造のために使用される成分の量
Figure 2013540850
a)ポリリン酸:ポリリン酸対するセリアの質量比は200
b)N−シクロヘキシル−N’−ヒドロキシジアゼニウムオキシドカリウム塩
実施例4〜6、並びに比較実験C3及びC4
N−シクロヘキシル−N’−ヒドロキシジアゼニウムジオキシドカリウム塩(実施例4〜6)を含む組成物、及び塩を含まない組成物(比較実験C3及びC4)についての、窒化ケイ素における酸化ケイ素の選択度
実施例1の組成物1を実施例4で使用し、実施例2の組成物2を実施例5で使用し、実施例3の組成物3を実施例6で使用した。
比較実験C1の組成物C1を比較実験C3で使用し、比較実験C2の組成物C2を比較実験C4で使用した。
窒化ケイ素における酸化ケイ素の選択度を測定するために、酸化物層又は窒化ケイ素物層を含むシリコンウェーハを、実施例4〜6並びに比較実験C3及びC4に使用した。
研磨速度(すなわち、材料除去率、MRR)の質量差によって測定した。これについては、Sartorius LA310 S scale又はFilmmetrics F50反射率計を用いてCMP前後のウェーハのMRRSを計算するために、熱二酸化シリコンの濃度として1.9kg/Lを使用し、の濃度として1.9kg/Lを使用し、窒化ケイ素の濃度として3.44kg/Lを使用した。研磨実験を、Strasbaugh nSpire (Model 6EC)、ViPRRフローティングリテーニングリングキャリアを用いて次のパラメータで行った:
−下部圧力:3.5 PSI(240ミリバール);
−背側圧力:0.5 PSI(34.5ミリバール);
−リテーニングリング圧力:2.5 PSI(172ミリバール);
−研磨テーブル/キャリア速度:95/85rpm;
−スラリー流量:200 ml/分;
−研磨時間:60秒;
−パッド調整:原位置(9.2〜9.0 Ibs、41 N);
−研磨パッド:IC1000 A2積み重ねパッド、xy k溝(R&H);
−バッキングフィルム:ストラスボー、DF200(136穴);
−調整ディスク:ストラスボーサソール。
すなわち、表2において、得られたMRRS及び計算された窒化ケイ素における酸化ケイ素の選択度の概要を示す。
表2:材料除去率及び組成物1〜3(実施例4〜6)、並びにC1及びC2(比較実験C3及びC4)の窒化ケイ素における酸化ケイ素の選択度
Figure 2013540850
a)材料除去率[オングストローム/分]
b)MRR(TEOS(オルトケイ酸テトラエチル)の材料除去率[オングストローム/分])
c)選択度TEOS/Si3N4
表2示されている結果により、特に単糖又は単糖及びシクリトールを組み合わせて、N−置換N’−ヒドロキシジアゼニウムジオキシド塩を使用することにより、窒化ケイ素における酸化ケイ素の選択度が顕著に増加していることがわかる。
組成物C2は、N−置換N’−ヒドロキシジアゼニウムオキシド塩を含まないが、単糖が比較的高い窒化ケイ素における酸化ケイ素の選択度を示す。しかし、組成物C2は、保存中に、細菌及び真菌により攻撃を受けた。

Claims (18)

  1. (A)N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される少なくとも1種の水溶性又は水分散性化合物と、
    (B)少なくとも一種の研磨粒子と、
    を含む水性研磨剤組成物。
  2. N−置換ジアゼニウムジオキシド(A)が、一般式I:
    Figure 2013540850
    で表され、
    Rは、少なくとも一種の残基を含む部分を表し、該残基は、モノマーの、オリゴマーの、及びポリマーの、置換及び非置換の、飽和及び不飽和の脂肪族及び脂環式の基からなる群から選択され、該残基は、少なくとも1個のヘテロ原子及び/又は少なくとも1つの二官能性又は三官能性架橋基を含まないか又は含み、並びにモノマーの、オリゴマーの、及びポリマーの、置換及び非置換の、飽和及び不飽和の脂肪族及び脂環式の基は、少なくとも1個のヘテロ原子を含まないか又は含み、数nは1〜1000であり、
    更に、N−置換N’−ヒドロキシジアゼニウムオキシド塩(A)が、一般式II:
    Figure 2013540850
    で表され、
    式中、Rは、上記の意味であり、Mが、有機及び無機の、モノマーの、オリゴマーの、及びポリマーのカチオンからなる群から選択され、数n及びmが、ともに1〜2000である請求項1に記載の水性研磨剤組成物。
  3. n及びmが、ともに1〜10の整数である請求項2に記載の水性研磨剤組成物。
  4. 研磨剤組成物の全質量を基準として、0.01〜1000ppmの化合物(A)を含む請求項3に記載の水性研磨剤組成物。
  5. 研磨粒子(B)が、アルミナ、シリカ、窒化ケイ素、炭化ケイ素、チタニア、ジルコニア、セリア、酸化亜鉛、及びこれらの混合物からなる群から選択される請求項1〜4の何れか1項に記載の水性研磨剤組成物。
  6. 研磨粒子(B)が、セリアを含有するか、又はセリアから成る請求項5に記載の水性研磨剤組成物。
  7. 研磨粒子(B)が、動的レーザ光散乱により測定して1〜1000nmの平均粒径を有する請求項5又は6に記載の水性研磨剤組成物。
  8. 研磨剤組成物の全質量を基準として、0.005〜10質量%の研磨粒子(B)を含む請求項1〜7の何れか1項に記載の水性研磨剤組成物。
  9. 成分(A)及び(B)とは異なる少なくとも一種の官能性成分(C)を含む請求項1〜7の何れか1項に記載の水性研磨剤組成物。
  10. 官能性成分(C)が、有機、無機、及び有機−無機混合の研磨粒子、下限臨界溶液温度LCST及び上限臨界溶液温度UCSTを有する材料、酸化剤、不動態化剤、電荷反転剤、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する有機ポリオール、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のポリマーから構成されるオリゴマー及びポリマー、錯化剤又はキレート剤、摩擦調整剤、安定化剤、レオロジー調整剤、界面活性剤、金属カチオン、及び有機溶媒から成る群から選択される請求項9に記載の水性研磨剤組成物。
  11. 電荷反転剤(C)が、少なくとも1つのアニオン性基を含むモノマー、オリゴマー、及びポリマーの化合物から成る群から選択され、該化合物が、カルボン酸塩、スルフィン酸塩、硫酸塩、ホスホン酸塩、及びリン酸の基からなる群から選択され、
    水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する有機ポリオール(C)、及び/又は水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のモノマーから構成されるオリゴマー及びポリマーが、単糖類、二糖類、オリゴ糖類、多糖類、デオキシ糖、アミノ糖、アルドン酸、ケトアルドン酸、ウロン酸、アルダル酸、糖アルコール、及びシクリトールから成る群から選択される請求項10に記載の水性研磨剤組成物。
  12. 成分(A)及び(B)とは異なる少なくとも一種のpH調節剤、又は緩衝剤(D)を含有する請求項1〜8の何れか1項に記載の水性研磨剤組成物。
  13. pH値が3〜10である請求項12に記載の水性研磨剤組成物。
  14. 電気、機械、及び光学デバイス用の基板材料を、水性研磨剤組成物に1回以上接触させ、所望の平面度が実現されるまで研磨する方法であって、
    請求項1〜13の何れか1項に記載の水性研磨剤組成物を使用する方法。
  15. 基板材料が、少なくとも一種の絶縁体材料を含むか、或いは該絶縁体材料から成る少なくとも一層の層を有する請求項14に記載の方法。
  16. N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩を、機械、電気、光学デバイスの製造に使用する方法。
  17. 電気デバイスが、
    集積回路装置、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、機械装置が高精度機械装置であり、及び光学デバイスが、フォトマスク等の光学ガラス、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶、固体レーザ単結晶、青色レーザLED用のサファイア基板、半導体単結晶、及び磁気ディスク用のガラス基板である請求項16に記載の方法。
  18. 集積回路装置が、50nm未満の大きさの構造を有し、大規模集積回路又は超大規模集積回路を有する集積回路を含む請求項17に記載の方法。
JP2013527719A 2010-09-08 2011-09-06 N−置換ジアゼニウムジオキシド及び/又はn’−ヒドロキシジアゼニウムオキシド塩を含有する水性研磨剤組成物 Pending JP2013540850A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072210P 2010-09-08 2010-09-08
US61/380,722 2010-09-08
PCT/IB2011/053891 WO2012032466A1 (en) 2010-09-08 2011-09-06 Aqueous polishing compositions containing n-substituted diazenium dioxides and/or n'-hydroxy-diazenium oxide salts

Publications (2)

Publication Number Publication Date
JP2013540850A true JP2013540850A (ja) 2013-11-07
JP2013540850A5 JP2013540850A5 (ja) 2014-10-16

Family

ID=45810174

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013527719A Pending JP2013540850A (ja) 2010-09-08 2011-09-06 N−置換ジアゼニウムジオキシド及び/又はn’−ヒドロキシジアゼニウムオキシド塩を含有する水性研磨剤組成物

Country Status (10)

Country Link
US (1) US20130200039A1 (ja)
EP (1) EP2614122A4 (ja)
JP (1) JP2013540850A (ja)
KR (1) KR101967134B1 (ja)
CN (1) CN103210047B (ja)
IL (1) IL225084B (ja)
RU (1) RU2608890C2 (ja)
SG (2) SG188459A1 (ja)
TW (1) TWI598434B (ja)
WO (1) WO2012032466A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2016047714A1 (ja) * 2014-09-26 2017-07-06 株式会社フジミインコーポレーテッド 研磨用組成物
JP2019050307A (ja) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド 研磨方法、ならびに研磨用組成物およびその製造方法
JP2020029554A (ja) * 2018-08-09 2020-02-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化物化学機械平坦化(cmp)研磨組成物

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI542678B (zh) * 2011-05-24 2016-07-21 可樂麗股份有限公司 化學機械研磨用侵蝕防止劑、化學機械研磨用漿液及化學機械研磨方法
US9157012B2 (en) * 2011-12-21 2015-10-13 Basf Se Process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of borophosphosilicate glass (BPSG) material in the presence of a CMP composition comprising anionic phosphate or phosphonate
US8916061B2 (en) * 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
TWI586668B (zh) 2012-09-06 2017-06-11 第一三共股份有限公司 二螺吡咯啶衍生物之結晶
US10490417B2 (en) * 2014-03-18 2019-11-26 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
US9551075B2 (en) 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
US20160172188A1 (en) * 2014-12-16 2016-06-16 Samsung Sdi Co., Ltd. Rinse solution for silica thin film, method of producing silica thin film, and silica thin film
KR102463863B1 (ko) * 2015-07-20 2022-11-04 삼성전자주식회사 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법
CN106189873A (zh) * 2016-07-22 2016-12-07 清华大学 一种抛光组合物
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
US11072726B2 (en) * 2018-06-29 2021-07-27 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US20200002607A1 (en) * 2018-06-29 2020-01-02 Versum Materials Us, Llc Low Oxide Trench Dishing Chemical Mechanical Polishing
US11078417B2 (en) 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
US11499099B2 (en) 2019-09-10 2022-11-15 Fujifilm Electronic Materials U.S.A., Inc. Etching composition

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP2003313542A (ja) * 2002-04-22 2003-11-06 Jsr Corp 化学機械研磨用水系分散体
JP2004031893A (ja) * 2002-05-17 2004-01-29 Hynix Semiconductor Inc フラッシュメモリ素子形成方法
WO2006001558A1 (en) * 2004-06-25 2006-01-05 Cheil Industires Inc. High selectivity cmp slurry composition for sti process in semiconductor manufacture
JP2006501294A (ja) * 2002-10-02 2006-01-12 ビーエーエスエフ アクチェンゲゼルシャフト 殺微生物組成物及びその使用方法
JP2007510678A (ja) * 2003-11-11 2007-04-26 ビーエーエスエフ アクチェンゲゼルシャフト 殺菌性組成物およびその使用方法
JP2007213020A (ja) * 2005-12-22 2007-08-23 Asahi Glass Co Ltd マスクブランクス用ガラス基板およびその研磨方法
JP2009532853A (ja) * 2006-04-26 2009-09-10 エヌエックスピー ビー ヴィ 半導体デバイスの製造方法、該方法で得られる半導体デバイス、およびその方法での利用に適したスラリー
JP2009266882A (ja) * 2008-04-22 2009-11-12 Hitachi Chem Co Ltd 研磨剤、これを用いた基体の研磨方法及び電子部品の製造方法
WO2010011080A2 (ko) * 2008-07-24 2010-01-28 테크노세미켐 주식회사 폴리실리콘 연마정지제를 함유하는 화학 기계적 연마 조성물
WO2010030499A1 (en) * 2008-09-12 2010-03-18 Ferro Corporation Chemical-mechanical polishing compositions and methods of making and using the same
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578882A (en) 1897-03-16 Railway-rail joint
DE2610705C3 (de) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Saure galvanische Kupferbäder
US5057560A (en) 1987-10-05 1991-10-15 Ciba-Geigy Corporation Thermotropic copolymer hydrogels from N,N-dimethylacrylamide and methoxy-ethyl (meth) acrylate
DE3824807A1 (de) 1988-07-21 1990-01-25 Schering Ag Diorganozinnverbindungen und diese enthaltende mittel mit bakterizider und fungizider wirkung
DE3835370A1 (de) 1988-10-18 1990-04-19 Wolman Gmbh Dr Holzschutzmittel
RU2001934C1 (ru) * 1992-02-10 1993-10-30 Научно-производственный кооператив "Экорунд" Суспензи дл полировани оптического стекла
FR2694939B1 (fr) 1992-08-20 1994-12-23 Schlumberger Cie Dowell Polymères thermoviscosifiants, leur synthèse et leurs applications notamment dans l'industrie pétrolière.
EP0588249B1 (de) 1992-09-18 1995-11-22 BASF Aktiengesellschaft Verfahren zur Herstellung von N-Hydroxy-N'-diazeniumoxiden
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
KR100761636B1 (ko) * 1996-09-30 2007-09-27 히다치 가세고교 가부시끼가이샤 산화세륨 입자
US6068787A (en) 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6110396A (en) 1996-11-27 2000-08-29 International Business Machines Corporation Dual-valent rare earth additives to polishing slurries
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5989301A (en) * 1998-02-18 1999-11-23 Saint-Gobain Industrial Ceramics, Inc. Optical polishing formulation
ES2216490T3 (es) 1998-02-24 2004-10-16 Showa Denko Kabushiki Kaisha Composicion abrasiva para pulir un dispositivo semiconductor y procedimiento para producir un dispositivo semiconductor con la misma.
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6299659B1 (en) 1998-08-05 2001-10-09 Showa Denko K.K. Polishing material composition and polishing method for polishing LSI devices
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
US7425581B2 (en) 1999-07-30 2008-09-16 Universiteit Utrecht Temperature sensitive polymers
US6468910B1 (en) 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
US6491843B1 (en) 1999-12-08 2002-12-10 Eastman Kodak Company Slurry for chemical mechanical polishing silicon dioxide
DE10006538C2 (de) 2000-02-15 2002-11-28 Forsch Pigmente Und Lacke E V Verfahren zur Beschichtung von Partikeln mit LCST-Polymeren
KR100378180B1 (ko) 2000-05-22 2003-03-29 삼성전자주식회사 화학기계적 연마 공정용 슬러리 및 이를 이용한 반도체소자의 제조방법
KR100852636B1 (ko) 2000-10-13 2008-08-18 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 시드 보충 및 전기도금조
FR2824832B1 (fr) 2001-05-16 2005-05-27 Oreal Polymeres hydrosolubles a squelette hydrosoluble et a unites laterales a lcst, leur procede de preparation, compositions aqueuses les contenant, et leur utilisation dans le domaine cosmetique
DE10152993A1 (de) 2001-10-26 2003-05-08 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen mit hoher Selektivität
WO2003078947A2 (en) 2002-03-15 2003-09-25 The Penn State Research Foundation Method for control of temperature-sensitivity of polymers in solution
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6645265B1 (en) 2002-07-19 2003-11-11 Saint-Gobain Ceramics And Plastics, Inc. Polishing formulations for SiO2-based substrates
DE10243438A1 (de) 2002-09-18 2004-03-25 Merck Patent Gmbh Oberflächenmodifizierte Effektpigmente
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
DE10254432A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
DE10254430A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
KR100539983B1 (ko) 2003-05-15 2006-01-10 학교법인 한양학원 Cmp용 세리아 연마제 및 그 제조 방법
JP2007535118A (ja) 2003-07-09 2007-11-29 ダイネア ケミカルズ オイ 化学的機械的な平坦化に用いるための非高分子有機粒子
JP2007531631A (ja) * 2003-07-11 2007-11-08 ダブリュー・アール・グレイス・アンド・カンパニー−コネチカット 化学機械的研磨用研磨剤粒子
KR100574225B1 (ko) 2003-10-10 2006-04-26 요업기술원 실리카에 세리아/실리카가 코팅된 화학적 기계적 연마용연마재 및 그 제조방법
DE10358092A1 (de) 2003-12-10 2005-07-14 Merck Patent Gmbh Oberflächenmodifizierte Partikel
JP4420391B2 (ja) 2004-05-28 2010-02-24 三井金属鉱業株式会社 セリウム系研摩材
US7026441B2 (en) 2004-08-12 2006-04-11 Intel Corporation Thermoresponsive sensor comprising a polymer solution
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
TW200632147A (ja) 2004-11-12 2006-09-16
JP4131270B2 (ja) 2005-03-01 2008-08-13 トヨタ自動車株式会社 車輌の制駆動力制御装置
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US20060216935A1 (en) 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
FR2889194A1 (fr) 2005-07-27 2007-02-02 Rhodia Chimie Sa Copolymere a blocs comprenant un bloc lcst presentant une temperature inferieur critique de solubilite, formulations comprenant le copolymere et utilisation pour vectoriser un ingredient actif
JP2009503910A (ja) 2005-08-05 2009-01-29 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属フィルム平坦化用高スループット化学機械研磨組成物
US20090130384A1 (en) 2005-09-30 2009-05-21 Toyama Prefecture Chip Provided with film Having Hole Pattern with the Use of Thermoresponsive Polymer and Method of Producing the Same
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
US20070175104A1 (en) 2005-11-11 2007-08-02 Hitachi Chemical Co., Ltd. Polishing slurry for silicon oxide, additive liquid and polishing method
KR100880107B1 (ko) 2006-01-25 2009-01-21 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 반도체 웨이퍼의 연마 방법
US9120952B2 (en) 2006-10-27 2015-09-01 University Of South Florida Polymeric microgels for chemical mechanical planarization (CMP) processing
EP2125618A4 (en) * 2007-02-08 2012-03-21 Fontana Technology METHOD AND COMPOSITION FOR REMOVING PARTICLES
GB0718440D0 (en) * 2007-09-21 2007-10-31 Reckitt Benckiser Uk Ltd Hard surface treatment compositions with improved mold fungi remediation properties
WO2012032461A1 (en) * 2010-09-08 2012-03-15 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003313542A (ja) * 2002-04-22 2003-11-06 Jsr Corp 化学機械研磨用水系分散体
JP2004031893A (ja) * 2002-05-17 2004-01-29 Hynix Semiconductor Inc フラッシュメモリ素子形成方法
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP2006501294A (ja) * 2002-10-02 2006-01-12 ビーエーエスエフ アクチェンゲゼルシャフト 殺微生物組成物及びその使用方法
JP2007510678A (ja) * 2003-11-11 2007-04-26 ビーエーエスエフ アクチェンゲゼルシャフト 殺菌性組成物およびその使用方法
WO2006001558A1 (en) * 2004-06-25 2006-01-05 Cheil Industires Inc. High selectivity cmp slurry composition for sti process in semiconductor manufacture
JP2007213020A (ja) * 2005-12-22 2007-08-23 Asahi Glass Co Ltd マスクブランクス用ガラス基板およびその研磨方法
JP2009532853A (ja) * 2006-04-26 2009-09-10 エヌエックスピー ビー ヴィ 半導体デバイスの製造方法、該方法で得られる半導体デバイス、およびその方法での利用に適したスラリー
JP2009266882A (ja) * 2008-04-22 2009-11-12 Hitachi Chem Co Ltd 研磨剤、これを用いた基体の研磨方法及び電子部品の製造方法
WO2010011080A2 (ko) * 2008-07-24 2010-01-28 테크노세미켐 주식회사 폴리실리콘 연마정지제를 함유하는 화학 기계적 연마 조성물
WO2010030499A1 (en) * 2008-09-12 2010-03-18 Ferro Corporation Chemical-mechanical polishing compositions and methods of making and using the same
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2016047714A1 (ja) * 2014-09-26 2017-07-06 株式会社フジミインコーポレーテッド 研磨用組成物
JP2019050307A (ja) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド 研磨方法、ならびに研磨用組成物およびその製造方法
US11749531B2 (en) 2017-09-11 2023-09-05 Fujimi Incorporated Polishing method, and polishing composition and method for producing the same
JP2020029554A (ja) * 2018-08-09 2020-02-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化物化学機械平坦化(cmp)研磨組成物
JP7469006B2 (ja) 2018-08-09 2024-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化物化学機械平坦化(cmp)研磨組成物

Also Published As

Publication number Publication date
RU2013115236A (ru) 2014-10-20
IL225084B (en) 2018-01-31
WO2012032466A1 (en) 2012-03-15
CN103210047A (zh) 2013-07-17
KR20130133175A (ko) 2013-12-06
RU2608890C2 (ru) 2017-01-26
SG188459A1 (en) 2013-04-30
SG10201506215WA (en) 2015-09-29
TW201217506A (en) 2012-05-01
KR101967134B1 (ko) 2019-04-09
EP2614122A1 (en) 2013-07-17
TWI598434B (zh) 2017-09-11
CN103210047B (zh) 2018-07-17
EP2614122A4 (en) 2014-01-15
US20130200039A1 (en) 2013-08-08

Similar Documents

Publication Publication Date Title
JP2013540850A (ja) N−置換ジアゼニウムジオキシド及び/又はn’−ヒドロキシジアゼニウムオキシド塩を含有する水性研磨剤組成物
JP5965906B2 (ja) 水性研磨組成物、及び酸化ケイ素誘電体膜とポリシリコン膜を含む基板の化学機械的な研磨方法
JP6196155B2 (ja) 水性研磨剤組成物、並びに電気、機械及び光学デバイス用の基板材料を研磨する方法
JP5965907B2 (ja) 電気機器や機械機器・光学機器用の基板の化学機械研磨用の水性研磨組成物と方法
TWI538970B (zh) 化學機械研磨含有氧化矽介電質薄膜及多晶矽及/或氮化矽薄膜之基材的方法
JP6125507B2 (ja) グリコシドを含む化学機械研磨(cmp)組成物
TWI721074B (zh) 一種化學機械拋光液及其應用
TW201043685A (en) A method for chemical mechanical polishing a substrate
US9070632B2 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
TW201123288A (en) Method for forming through-base wafer vias for fabrication of stacked devices
TW201250809A (en) Method for forming through-base wafer vias
JP6930976B2 (ja) 低k基板の研磨方法
JP7041135B2 (ja) 改善されたディッシングおよびパターン選択性を有する酸化物および窒化物選択性のcmp組成物
KR20170072524A (ko) 화학 기계적 연마 슬러리 조성물 및 이를 이용한 연마 방법
TWI565770B (zh) 水性研磨組成物及用來化學機械研磨具有經圖案化或未經圖案化低k介電層之基板之方法
TW202231805A (zh) 用於高拓樸選擇性的自停止性拋光組合物與方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140901

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140901

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160229

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160309

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160906