JP2013540850A - Aqueous abrasive composition containing N-substituted diazenium dioxide and / or N'-hydroxydiazenium oxide salt - Google Patents

Aqueous abrasive composition containing N-substituted diazenium dioxide and / or N'-hydroxydiazenium oxide salt Download PDF

Info

Publication number
JP2013540850A
JP2013540850A JP2013527719A JP2013527719A JP2013540850A JP 2013540850 A JP2013540850 A JP 2013540850A JP 2013527719 A JP2013527719 A JP 2013527719A JP 2013527719 A JP2013527719 A JP 2013527719A JP 2013540850 A JP2013540850 A JP 2013540850A
Authority
JP
Japan
Prior art keywords
acid
abrasive
composition
substituted
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013527719A
Other languages
Japanese (ja)
Other versions
JP2013540850A5 (en
Inventor
ノルラー,バスティアン
フランツ,ディアナ
リー,ユツホウ
イブラヒム,シェイク アンサル ウスマン
ウエイン ピンダー,ハーヴェイ
スンダール ヴェンカタラマン,シアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2013540850A publication Critical patent/JP2013540850A/en
Publication of JP2013540850A5 publication Critical patent/JP2013540850A5/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01NPRESERVATION OF BODIES OF HUMANS OR ANIMALS OR PLANTS OR PARTS THEREOF; BIOCIDES, e.g. AS DISINFECTANTS, AS PESTICIDES OR AS HERBICIDES; PEST REPELLANTS OR ATTRACTANTS; PLANT GROWTH REGULATORS
    • A01N51/00Biocides, pest repellants or attractants, or plant growth regulators containing organic compounds having the sequences of atoms O—N—S, X—O—S, N—N—S, O—N—N or O-halogen, regardless of the number of bonds each atom has and with no atom of these sequences forming part of a heterocyclic ring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Abstract

(A)N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される少なくとも1種の水溶性又は水分散性化合物と、
(B)少なくとも一種の研磨粒子と、
を含む水性研磨剤組成物。
(A) at least one water-soluble or water-dispersible compound selected from the group consisting of N-substituted diazenium dioxide and N′-hydroxydiazenium oxide salt;
(B) at least one abrasive particle;
An aqueous abrasive composition comprising:

Description

本発明は、水性研磨用組成物に関し、特に、N−置換ジアゼニウムジオキシド及び/又はN’−ヒドロキシジアゼニウムオキシド塩を含有する化学機械研磨(CMP)組成物に関する。   The present invention relates to aqueous polishing compositions, and more particularly to chemical mechanical polishing (CMP) compositions containing N-substituted diazenium dioxide and / or N'-hydroxydiazenium oxide salts.

また、本発明は、電気、機械、及び光学デバイス製造用のN−置換ジアゼニウムジオキシド及び/又はN’−ヒドロキシジアゼニウムオキシド塩の新規な使用方法に関する。
さらに、本発明は、電気、機械及び光学デバイスの製造のために基板材料を研磨する新規な方法に関する。
The invention also relates to a novel use of N-substituted diazenium dioxide and / or N′-hydroxydiazenium oxide salts for the manufacture of electrical, mechanical and optical devices.
Furthermore, the invention relates to a novel method for polishing a substrate material for the manufacture of electrical, mechanical and optical devices.

引用された文献
本出願で引用した文献は、それら全てが本明細書に参考として組み込まれる。
Cited References All references cited in this application are incorporated herein by reference.

化学的・機械的な平面化又は研磨(CMP)は、集積回路(IC)デバイスの局所的及び全体的な平面度を実現するための主要なプロセスである。この技術は、一般的には、回転基板の表面と研磨パッドとの間において、研磨剤及び活性化学物質である他の添加剤を含有する組成物又はCMPスラリーを、負荷の下で施す。従って、CMPプロセスは、研磨等の物理的方法に、例えば、酸化又はキレート化等の化学的方法を組み合わせる。基板材料の除去又は研磨においては、単純に物理的な作用或いは単純に化学的な作用を含むべきであるが、上記技術は、高速で均一な除去を実現するために、むしろ両者の相乗的組み合わせで構成されるので望ましくない。   Chemical / mechanical planarization or polishing (CMP) is a key process for achieving local and overall flatness of integrated circuit (IC) devices. This technique generally applies a composition or CMP slurry containing an abrasive and other additives that are active chemicals between the surface of the rotating substrate and the polishing pad under load. Thus, the CMP process combines physical methods such as polishing with chemical methods such as oxidation or chelation. The removal or polishing of the substrate material should involve a simple physical action or simply a chemical action, but the above technique is rather a synergistic combination of both to achieve fast and uniform removal. It is not desirable because it is composed of

この方法では、所望の平面度が達成されるまで、又はバリアサブレイヤー若しくは停止層が露出するまで、基板材料が取り除かれる。最終的には、平面状の欠陥のない表面が得られる。この表面は、その後のフォトリソグラフィー、パターニング、エッチング及び薄膜処理によって、適切な多層ICデバイスの製造が可能となす。   In this method, the substrate material is removed until the desired flatness is achieved, or until the barrier sublayer or stop layer is exposed. Ultimately, a planar defect-free surface is obtained. This surface allows subsequent multilayer IC device fabrication by subsequent photolithography, patterning, etching and thin film processing.

シャロートレンチアイソレーション(STI)は、一般的にパターニングされたウェーハ基板上において、二酸化ケイ素を選択的に取り除き、窒化ケイ素とすることを要求する特定のCMP用途の一つである。この場合、エッチングされたトレンチは、絶縁体材料(二酸化ケイ素)で過剰に充填され、例えば、停止層としての窒化ケイ素バリア膜を用いて研磨される。CMPプロセスでは、露出した窒化ケイ素及びトレンチの酸化ケイ素の除去を最小限としつつ、バリア膜から二酸化ケイ素を取り除いて終了する。   Shallow trench isolation (STI) is one particular CMP application that requires that silicon dioxide be selectively removed to silicon nitride on a generally patterned wafer substrate. In this case, the etched trench is overfilled with an insulator material (silicon dioxide) and polished, for example, using a silicon nitride barrier film as a stop layer. The CMP process ends with removal of silicon dioxide from the barrier film while minimizing removal of exposed silicon nitride and silicon oxide in the trenches.

この方法では、窒化ケイ素の除去量に対する二酸化ケイ素材料の除去量の比を高くすることのできるCMPスラリーが要求される。当該技術分野ではこの比は、窒化物に対する酸化物の選択度とも呼ばれている。   This method requires a CMP slurry that can increase the ratio of silicon dioxide material removal to silicon nitride removal. In the art, this ratio is also referred to as oxide selectivity over nitride.

セリア系CMPスラリーは、セリアの二酸化ケイ素への化学的親和性が高いことにより相当に高い窒化物に対する酸化物の選択度を実現するので、STI用途において相当の注目を受けている。なお、当該技術分野において、上記化学的親和性は、セリアの化学歯作用(chemical tooth action)とも呼ばれている。   Ceria-based CMP slurries have received considerable attention in STI applications because of the high chemical affinity of ceria to silicon dioxide for achieving a much higher oxide selectivity to nitride. In this technical field, the chemical affinity is also called ceria's chemical tooth action.

それにもかかわらず、セリア系CMPスラリーの窒化物に対する酸化物の選択度は、該選択度を調整する添加剤により改善されなければならない。   Nevertheless, the selectivity of the oxide to the nitride of the ceria-based CMP slurry must be improved by additives that adjust the selectivity.

したがって、P.W.Caterらは、「Electrochemical and Solid−State Letters, 8 (8) G218−G221 (2005) , Interfacial Reactivity Between Ceria and Silicon Dioxide and SilicoN,Nitride Surfaces, Organic Additive Effects,」において、窒化物に対する酸化物の選択度における、グルタミン酸、ピコリン酸、4−ヒドロキシ安息香酸、イミダゾール、酢酸、ギ酸、3−ヒドロキシピコリン酸、アントラニル酸、ピロールカルボン酸、シクロヘキサンカルボン酸、ピペラジン、ピリジン、2−フェニル酢酸、安息香酸、3−アミノフェノール、コハク酸、ベタイン、グリシン、プロリン、ベンゼンスルホン酸、モルホリン、サリチル酸、テレフタル酸、リンゴ酸、イソプロパノール、クエン酸、及びシュウ酸の影響を開示している。   Therefore, P.I. W. Cater et al., “Electrical and Solid-State Letters, 8 (8) G218-G221 (2005), Interfacial Reactivity Between Candia Ceria and Silicon Dioxide and Silicon, Nr. Glutamic acid, picolinic acid, 4-hydroxybenzoic acid, imidazole, acetic acid, formic acid, 3-hydroxypicolinic acid, anthranilic acid, pyrrolecarboxylic acid, cyclohexanecarboxylic acid, piperazine, pyridine, 2-phenylacetic acid, benzoic acid, 3 -Aminophenol, succinic acid, betaine, Lysine, proline, benzenesulfonic acid, morpholine, salicylic, terephthalic acid, malic acid, isopropanol, discloses the effect of citric acid, and oxalic acid.

Y.N.Prasadらは、「Electrochemical and Solid−State Letters, 9 (12) G337−G339 (2006), Role of Amino−Acid Absorption on Silica and SilicoN,Nitride Surfaces during STI CMP」において、プロリン及びアルギニンの影響を開示している。   Y. N. Prasad et al., “Electrochemical and Solid-State Letters, 9 (12) G337-G339 (2006), Role of Amino-Acid Absorption on Silica and Silicin Surfin, Prof. ing.

Hyun−Goo Kangらは、「Journal of Material Research, volume 22−No. 3, 2007, pages 777 to 787,」では、シャロートレンチ内における化学的・機械的平面化によるSiO膜/Si膜の除去選択度において、研磨粒子のサイズ、及びセリアスラリー中のポリ(アクリル酸)の分子量による影響について開示している。 Hyun-Goo Kang et al. In “Journal of Material Research, volume 22-No. 3, 2007, pages 777 to 787”, SiO 2 film / Si 3 N 4 by chemical / mechanical planarization in a shallow trench. Disclosed is the influence of abrasive particle size and molecular weight of poly (acrylic acid) in ceria slurry on membrane removal selectivity.

S.Kimらは、「Journal of Colloid and Interface Science, 319 (2008)」の48〜52ページにおいて、化学機械研磨(CMP)におけるアニオン性高分子電解質の吸収挙動を開示している。   S. Kim et al., “Journal of Colloid and Interface Science, 319 (2008)”, pages 48-52, disclose the absorption behavior of anionic polymer electrolytes in chemical mechanical polishing (CMP).

S.V.Babuらは、「Electrochemical and Solid−State Letters, 7 (12) G327−G330 (2004), Slurry Additive Effects on the Suppression of SilicoN,Nitride Removal during CMP」において、アルギニン、リジン、プロリン、N−メチルグリシン、アラニン、グリシン、ピコリン酸、N,N−ジメチルグリシン、3−アミノ酪酸、及びイソニコチン酸の影響に関する調査が開示されている。   S. V. Babu et al., “Electrochemical and Solid-State Letters, 7 (12) G327-G330 (2004), Slurry Additive Effects on the Suppression of SilicoN, Nitride CMP Investigations regarding the effects of alanine, glycine, picolinic acid, N, N-dimethylglycine, 3-aminobutyric acid, and isonicotinic acid are disclosed.

Jae−Dong Leeらは、「Journal of the Electrochemical Society, 149 (8) G477−G481, 2002−Effects of Nonionic Surfactants on Oxide−To−Polysilicon Selectivity during Chemical Mechanical Polishing」において、酸化物からポリシリコンへの選択度における、ポリエチレンオキシド(PEO)やエチレンオキシド−プロピレンオキシド−エチレンオキシドトリブロックコポリマー等の界面活性剤の影響について開示されている。しかしながら、窒化物に対する酸化物の選択度については対処されていない。   Jae-Dong Lee et al., “From Journal of the Electrochemical Society, 149 (8) G477-G481, 2002-Effects of Nonionic Surfactants on Oxide-To-PolySilicon. The effect of surfactants such as polyethylene oxide (PEO) and ethylene oxide-propylene oxide-ethylene oxide triblock copolymers on the temperature is disclosed. However, oxide selectivity to nitride is not addressed.

US5738800B、US6042741B、US6132637B、及びUS6218305Bには、錯化剤を含有するセリア系CMPスラリーが開示されている。この錯化剤は、例えば、リンゴ酸、酒石酸、グルコン酸、クエン酸、オルトジ−及びポリヒドロキシ安息香酸、フタル酸、ピロカテコール、ピロガロール、没食子酸、タンニン酸、及びそれらの塩である。また、セリア系CMPスラリーは、アニオン性、カチオン性、両性、又は非イオン性界面活性剤を含んでいる。セリア系CMPスラリーは、高い窒化物に対する酸化物の選択度を有することが主張されている。   US5738800B, US6042741B, US6132637B, and US6218305B disclose ceria-based CMP slurries containing complexing agents. The complexing agents are, for example, malic acid, tartaric acid, gluconic acid, citric acid, orthodi- and polyhydroxybenzoic acid, phthalic acid, pyrocatechol, pyrogallol, gallic acid, tannic acid, and salts thereof. The ceria-based CMP slurry contains an anionic, cationic, amphoteric or nonionic surfactant. Ceria-based CMP slurries are claimed to have high oxide selectivity to nitride.

US5759917B1、US6689692B1、及びUS6984588B2には、カルボン酸を含有するセリア系CMPスラリーが開示されている。このカルボン酸は、例えば、酢酸、アジピン酸、酪酸、カプリン酸、カプロン酸、カプリル酸、クエン酸、グルタル酸、グリコール酸、ギ酸、フマル酸、乳酸、ラウリン酸、リンゴ酸、マレイン酸、マロン酸、ミリスチン酸、シュウ酸、パルミチン酸、フタル酸、プロピオン酸、ピルビン酸、ステアリン酸、コハク酸、酒石酸、吉草酸、2−(2−メトキシエトキシ)酢酸、2−[2−(2−メトキシエトキシ)エトキシ]酢酸、ポリ(エチレングリコール)bis(カルボキシメチル)エーテル、並びにこれらの誘導体及び塩である。また、セリア系CMPスラリーは、例えば、硝酸塩、リン酸塩、及び硫酸塩等の水溶性の有機塩及び無機塩を含有する。セリア系CMPスラリーは、酸化ケイ素を研磨して窒化ケイ素膜で過剰充填することが主張されている。   US5759917B1, US6689692B1 and US6984588B2 disclose ceria-based CMP slurries containing carboxylic acids. This carboxylic acid is, for example, acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, citric acid, glutaric acid, glycolic acid, formic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid , Myristic acid, oxalic acid, palmitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, succinic acid, tartaric acid, valeric acid, 2- (2-methoxyethoxy) acetic acid, 2- [2- (2-methoxyethoxy) ) Ethoxy] acetic acid, poly (ethylene glycol) bis (carboxymethyl) ether, and derivatives and salts thereof. The ceria-based CMP slurry contains, for example, water-soluble organic salts and inorganic salts such as nitrates, phosphates, and sulfates. Ceria-based CMP slurries are claimed to polish silicon oxide and overfill with a silicon nitride film.

US6299659B1には、セリア系CMPスラリーが開示されており、このセリア系CMPスラリーでは、窒化物に対する酸化物の選択度を向上させるために、研磨粒子が、シラン、チタネート、シクロエート、アルミニウム、及びリン酸の塩カップリング剤で処理される。   US 6299659 B1 discloses a ceria-based CMP slurry, in which the abrasive particles are silane, titanate, cycloate, aluminum, and phosphoric acid to improve the selectivity of the oxide to the nitride. It is treated with a salt coupling agent.

US2002/0034875A1、及びUS6626968B2には、界面活性剤、pH調整剤及び疎水性官能基を含むセリア系CMPスラリーが開示されている。pH調整剤は、例えば、水酸化カリウム、硫酸、硝酸、塩酸又はリン酸、並びに親水性官能基及び疎水性官能基を含有するポリマーである。ポリマーは、例えば、ビニルメチルエーテル(PVME)、ポリエチレングリコール(PEG)、ポリオキシエチレン23ラウリルエーテル(POLE)、ポリプロパン酸(PPA)、ポリアクリル酸(PM)、及びポリエーテルグリコールビスエーテル(PEGBE)である。しかし、このセリア系CMPスラリーは、窒化物に対する酸化物の選択度を向上させる。   US2002 / 0034875A1 and US6626968B2 disclose ceria-based CMP slurries containing surfactants, pH adjusters and hydrophobic functional groups. The pH adjuster is, for example, a polymer containing potassium hydroxide, sulfuric acid, nitric acid, hydrochloric acid or phosphoric acid, and a hydrophilic functional group and a hydrophobic functional group. Polymers include, for example, vinyl methyl ether (PVME), polyethylene glycol (PEG), polyoxyethylene 23 lauryl ether (POLE), polypropanoic acid (PPA), polyacrylic acid (PM), and polyether glycol bisether (PEGBE). ). However, this ceria-based CMP slurry improves the selectivity of oxide to nitride.

US6436835B1には、水溶性のカルボン酸又はカルボン酸塩又はスルホン酸又はスルホン酸基を有する有機化合物を含有する、シャロートレンチアイソレーションプロセスのためのセリア系CMPスラリーが開示されている。上記カルボン酸又はカルボン酸塩又はスルホン酸又はスルホン酸基は、例えば、ポリアクリル酸、ポリメタクリル酸、ナフタレンスルホン酸ホルマリン縮合物、リンゴ酸、乳酸、酒石酸、グルコン酸、クエン酸、コハク酸、アジピン酸、フマル酸、アスパラギン酸、グルタミン酸、グリシン4−アミノ酪酸、6−アミノヘキサン酸、12−アミノラウリン酸、アルギニン、グリシルグリシン、ラウリルベンゼンスルホン酸、及びこれらのアンモニウム塩である。このセリア系CMPスラリーは高い窒化物に対する酸化物の選択度を有することが主張されている。   US6436835B1 discloses a ceria-based CMP slurry for a shallow trench isolation process containing a water-soluble carboxylic acid or carboxylate salt or an organic compound having a sulfonic acid or sulfonic acid group. The carboxylic acid or carboxylate salt or sulfonic acid or sulfonic acid group includes, for example, polyacrylic acid, polymethacrylic acid, naphthalenesulfonic acid formalin condensate, malic acid, lactic acid, tartaric acid, gluconic acid, citric acid, succinic acid, adipine Acid, fumaric acid, aspartic acid, glutamic acid, glycine 4-aminobutyric acid, 6-aminohexanoic acid, 12-aminolauric acid, arginine, glycylglycine, laurylbenzenesulfonic acid, and ammonium salts thereof. This ceria-based CMP slurry is claimed to have high oxide selectivity to nitride.

US6491843B1、US6544892B2、及びUS6627107B2には、窒化物に対する酸化物の選択度を改善するために、例えばリジン、アラニン、及びプロリン等のα−アミノ酸を含むセリア系CMPスラリーが開示されている。   US 6184183B1, US 6544892B2, and US 6627107B2 disclose ceria-based CMP slurries containing α-amino acids such as lysine, alanine, and proline to improve oxide selectivity to nitride.

US6616514Bには、水性媒体中で解離しない少なくとも3つのヒドロキシル基を有する有機ポリオールを含有するセリア系CMPスラリーが開示されている。或いは、少なくとも3つのヒドロキシル基を有する少なくとも一種のモノマーから形成されるポリマーが開示されており、このポリマーは、マンニトール、ソルビトール、マンノース、キシリトール、ソルボース、スクロース、及びデキストリン等の水性媒体で解離しない。これにより、窒化物に対する酸化物の選択度が改善される。   US6616514B discloses a ceria-based CMP slurry containing an organic polyol having at least three hydroxyl groups that does not dissociate in an aqueous medium. Alternatively, a polymer formed from at least one monomer having at least three hydroxyl groups is disclosed that does not dissociate in aqueous media such as mannitol, sorbitol, mannose, xylitol, sorbose, sucrose, and dextrin. This improves the selectivity of the oxide over the nitride.

JP2005−336400Aには、水溶性縮合リン酸塩、水溶性炭酸塩、及び炭酸水素塩を含有するセリア系CMPスラリーが開示されている。水溶性縮合リン酸塩は、例えば、ピロリン酸塩、トリポリリン酸塩、及びヘキサメタリン酸塩である。セリア系CMPスラリーは、更に、水溶性有機溶媒を含んでいてもよい。水溶性有機溶媒は、例えば、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、エチレングリコール、プロピレングリコール、及び1,2,3−プロパントリオール、アセトン及びメチルエチルケトン等のケトン、テトラヒドロフラン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、並びに1,4−ジオキサンである。   JP 2005-336400A discloses a ceria-based CMP slurry containing a water-soluble condensed phosphate, a water-soluble carbonate, and a bicarbonate. The water-soluble condensed phosphate is, for example, pyrophosphate, tripolyphosphate, and hexametaphosphate. The ceria-based CMP slurry may further contain a water-soluble organic solvent. Examples of water-soluble organic solvents include methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, 2-butanol, ethylene glycol, propylene glycol, and ketones such as 1,2,3-propanetriol, acetone, and methyl ethyl ketone. , Tetrahydrofuran, N, N-dimethylformamide, dimethyl sulfoxide, and 1,4-dioxane.

US7071105B2及びUS2006/0144824A1には、4〜9のpKaを有する官能基を含む研磨添加剤を含有するセリア系CMPスラリーが開示されている。研磨添加剤は、アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒドロキサム酸、アミノカルボン酸、環式モノカルボン酸、不飽和モノカルボン酸、置換フェノール、スルホンアミド、チオール及びこれらの塩からなる群から選択され、特に、塩化物、臭化物、硫酸塩、スルホン酸塩、トリフルオロメチルスルホン酸塩、酢酸塩、トリフルオロ酢酸塩、ピクリン酸塩、ペルフルオロ酪酸塩、並びにナトリウム塩、カリウム塩及びアンモニウム塩である。   US7071105B2 and US2006 / 0144824A1 disclose ceria-based CMP slurries containing polishing additives containing functional groups having a pKa of 4-9. Polishing additives include arylamines, amino alcohols, aliphatic amines, heterocyclic amines, hydroxamic acids, aminocarboxylic acids, cyclic monocarboxylic acids, unsaturated monocarboxylic acids, substituted phenols, sulfonamides, thiols and their salts. Selected from the group consisting of chloride, bromide, sulfate, sulfonate, trifluoromethylsulfonate, acetate, trifluoroacetate, picrate, perfluorobutyrate, and sodium, potassium and Ammonium salt.

上述のアリールアミンとしては、アニリン、4−クロロアニリン、3−メトキシアニリン、N−メチルアニリン、4−メトキシアニリン、p−トルイジン、アントラニル酸、3−アミノ−4−ヒドロキシベンゼンスルホン酸、アミノベンジルアルコール、アミノベンジルアミン、1−(−アミノフェニル)ピロール、1−(3−アミノフェニル)エタノール、2−アミノフェニルエーテル、2,5−ビス−(4−アミノフェニル)−1,3,4−オキサジアゾール、2−(2−アミノフェニル)−1H−1、3,4−トリアゾール、2−アミノフェニル、3−アミノフェニル、4−アミノフェニル、ジメチルアミノフェノール、2−アミノチオフェノール、3−アミノチオフェノール、4−アミノメチルスルフィド、2−アミノベンゼンスルホンアミド、オルタニル酸、3−アミノベンゼンボロン酸、5−アミノイソフタル酸、スルファセタミド、スルファニル酸、o−又はp−アルサニル酸、及び(3R)−3−(4−トリフルオロメチルフェニルアミノ)ペンタン酸である
上述したアミノアルコールは、トリエタノールアミン、ベンジルジエタノールアミン、tris(ヒドロキシメチル)アミノメタン、ヒドロキシルアミン、及びテトラサイクリンである。
Examples of the arylamine include aniline, 4-chloroaniline, 3-methoxyaniline, N-methylaniline, 4-methoxyaniline, p-toluidine, anthranilic acid, 3-amino-4-hydroxybenzenesulfonic acid, aminobenzyl alcohol. Aminobenzylamine, 1-(-aminophenyl) pyrrole, 1- (3-aminophenyl) ethanol, 2-aminophenyl ether, 2,5-bis- (4-aminophenyl) -1,3,4-oxa Diazole, 2- (2-aminophenyl) -1H-1,3,4-triazole, 2-aminophenyl, 3-aminophenyl, 4-aminophenyl, dimethylaminophenol, 2-aminothiophenol, 3-amino Thiophenol, 4-aminomethyl sulfide, 2-aminobenzenesulfur With amide, orthonylic acid, 3-aminobenzeneboronic acid, 5-aminoisophthalic acid, sulfacetamide, sulfanilic acid, o- or p-arsanilic acid, and (3R) -3- (4-trifluoromethylphenylamino) pentanoic acid Some of the aforementioned amino alcohols are triethanolamine, benzyldiethanolamine, tris (hydroxymethyl) aminomethane, hydroxylamine, and tetracycline.

上述した脂肪族アミンは、例えばメトキシアミン、ヒドロキシアミン、N−メチルヒドロキシルアミン、N、O−ジメチルヒドロキシルアミン、β−ジフルオロエチレンアミン、エチレンジアミン、トリエチレンジアミン、ジエチル((ブチルアミノ)(2−ヒドロキシフェニル)メチル)ホスホネート、イミノエタン、イミノブタン、トリアリルアミン、シアノアミン(アミノアセトニトリル、ジメチルアミノアセトニトリル、2−アミノ−2−シアノプロパン、イソプロピルアミノプロピオンニトリル、ジエチルアミノプロピオンニトリル、アミノプロピオニトリル、ジシアノジエチルアミン)、ヒドラジン、メチルヒドラジン、テトラメチルヒドラジン、N、N−ジメチルヒドラジン、フェニルヒドラジン、N、N−ジエチルヒドラジン、トリメチルヒドラジン、エチルヒドラジン及びこれらの塩である。   Examples of the aliphatic amine described above include methoxyamine, hydroxyamine, N-methylhydroxylamine, N, O-dimethylhydroxylamine, β-difluoroethyleneamine, ethylenediamine, triethylenediamine, diethyl ((butylamino) (2-hydroxyphenyl). ) Methyl) phosphonate, iminoethane, iminobutane, triallylamine, cyanoamine (aminoacetonitrile, dimethylaminoacetonitrile, 2-amino-2-cyanopropane, isopropylaminopropiononitrile, diethylaminopropiononitrile, aminopropionitrile, dicyanodiethylamine), hydrazine, Methyl hydrazine, tetramethyl hydrazine, N, N-dimethyl hydrazine, phenyl hydrazine, N, N-diethyl hydrazine , Trimethyl hydrazine, ethyl hydrazine and salts thereof.

上述した複素環式アミンは、イミダゾール、1−メチルイミダゾール、2−メチルイミダゾール、2−エチルイミダゾール、2−ヒドロキシメチルイミダゾール、1−メチル−2−ヒドロキシメチルイミダゾール、ベンゾイミダゾール、キノリン、イソキノリン、ヒドロキシキノリン、メラミン、ピリジン、ビピリジン、2−メチルピリジン、4−メチルピリジン、2−アミノピリジン、3−アミノピリジン、2,3−ピリジンジカルボン酸、2,5−ピリジンジカルボン酸、2,6−ピリジンジカルボン酸、5−ブチル−2−ピリジンカルボン酸、2−ピリジンカルボン酸、3−ヒドロキシ−2−ピリジンカルボン酸、4−ヒドロキシ−2−ピリジンカルボン酸、3−ベンゾイル−2−ピリジンカルボン酸、6−メチル−2−ピリジンカルボン酸、3−メチル−2−ピリジンカルボン酸、6−ブロモ−2−ピリジンカルボン酸、6−クロロ−2−ピリジンカルボン酸、3,6−ジクロロ−2−ピリジンカルボン酸、4−ヒドラジノ−3,5,6−トリクロロ−2−ピリジンカルボン酸、2−キノリンカルボン酸、4−メトキシ−2−キノリンカルボン酸、8−ヒドロキシ−2−キノリンカルボン酸、4,8−ヒドロキシ−2−キノリンカルボン酸、7−クロロ−4−ヒドロキシ−2−キノリンカルボン酸、5,7−ジクロロ−4−ヒドロキシ−2−キノリンカルボン酸、5−ニトロ−2−キノリンカルボン酸、1−イソキノリンスルホン酸、3−イソキノリンカルボン酸、アクリジン、ベンゾキノリン、ベンゾアクリジン、クロニジン、アナバシン、ノルニコチン、トリアゾロピリジン、ピリドキシン、セロトニン、ヒスタミン、ベンゾジアゼピン、アジリジン、モルホリン、1,8−ジアザビシクロ(5,4,0)ウンデセン−7、DABCO、ヘキサメチレンテトラミン、ピペラジン、N−ベンゾイルピペラジン、1−トシルピペラジン、N−カルボキシエチルピペラジン,1,2,3−トリアゾール、1,2,4−トリアゾール、2−アミノチアゾール、ピロール、ピロール−2−カルボン酸、3−ピロリン−2−カルボン酸、エチルピロリン、シクロヘキシルピロリン、トリルピロリン、テトラゾール、5−シクロプロピルテトラゾール、5−ヒドロキシテトラゾール、5−フェノキシテトラゾール、5−フェニルテトラゾール、フルオプラテンシル、メチルチオウラシル、5,5−ジフェニルヒドントイン、5,5−ジメチル−2,4−オキサゾリジンジオン、フタルイミド、スクシンイミド、3,3−メチルフェニルグルタルイミド、3,3−ジメチルスクシンイミド、イミダゾール[2,3−B〕チオキサゾール、ヒドロキシエミダゾ[2,3−a]インドール、5,5−メチルフェニルバルビツル酸、1,5,5−トリメチルバルビツル酸、ヘキソバルビタール、5,5−ジメチルバルビツル酸、1,5−ジメチル−5−フェニルバルビツル酸、およびその塩である。   The above-mentioned heterocyclic amines are imidazole, 1-methylimidazole, 2-methylimidazole, 2-ethylimidazole, 2-hydroxymethylimidazole, 1-methyl-2-hydroxymethylimidazole, benzimidazole, quinoline, isoquinoline, hydroxyquinoline. , Melamine, pyridine, bipyridine, 2-methylpyridine, 4-methylpyridine, 2-aminopyridine, 3-aminopyridine, 2,3-pyridinedicarboxylic acid, 2,5-pyridinedicarboxylic acid, 2,6-pyridinedicarboxylic acid 5-butyl-2-pyridinecarboxylic acid, 2-pyridinecarboxylic acid, 3-hydroxy-2-pyridinecarboxylic acid, 4-hydroxy-2-pyridinecarboxylic acid, 3-benzoyl-2-pyridinecarboxylic acid, 6-methyl -2-pyridinecal Acid, 3-methyl-2-pyridinecarboxylic acid, 6-bromo-2-pyridinecarboxylic acid, 6-chloro-2-pyridinecarboxylic acid, 3,6-dichloro-2-pyridinecarboxylic acid, 4-hydrazino-3 , 5,6-trichloro-2-pyridinecarboxylic acid, 2-quinolinecarboxylic acid, 4-methoxy-2-quinolinecarboxylic acid, 8-hydroxy-2-quinolinecarboxylic acid, 4,8-hydroxy-2-quinolinecarboxylic acid 7-chloro-4-hydroxy-2-quinolinecarboxylic acid, 5,7-dichloro-4-hydroxy-2-quinolinecarboxylic acid, 5-nitro-2-quinolinecarboxylic acid, 1-isoquinolinesulfonic acid, 3-isoquinoline Carboxylic acid, acridine, benzoquinoline, benzoacridine, clonidine, anabasine, nornicotine, triazolopi Gin, pyridoxine, serotonin, histamine, benzodiazepine, aziridine, morpholine, 1,8-diazabicyclo (5,4,0) undecene-7, DABCO, hexamethylenetetramine, piperazine, N-benzoylpiperazine, 1-tosylpiperazine, N- Carboxyethylpiperazine, 1,2,3-triazole, 1,2,4-triazole, 2-aminothiazole, pyrrole, pyrrole-2-carboxylic acid, 3-pyrroline-2-carboxylic acid, ethylpyrroline, cyclohexylpyrroline, tolyl Pyrroline, tetrazole, 5-cyclopropyltetrazole, 5-hydroxytetrazole, 5-phenoxytetrazole, 5-phenyltetrazole, fluoplatencil, methylthiouracil, 5,5-diphenylhydrontoin, 5 , 5-dimethyl-2,4-oxazolidinedione, phthalimide, succinimide, 3,3-methylphenylglutarimide, 3,3-dimethylsuccinimide, imidazole [2,3-B] thioxazole, hydroxyemidazo [2,3 -A] indole, 5,5-methylphenyl barbituric acid, 1,5,5-trimethylbarbituric acid, hexobarbital, 5,5-dimethylbarbituric acid, 1,5-dimethyl-5-phenylbarbituric Acids and their salts.

特にヒドロキサム酸は、ホルモヒドロキサム酸、アセトヒドロキサム酸、ベンゾヒドロキサム酸、サリチルヒドロキサム酸、2−アミノベンゾヒドロキサム酸、2−クロロベンゾヒドロキサム酸、2−フルオロベンゾヒドロキサム酸、2−ニトロベンゾヒドロキサム酸、3−ニトロベンゾヒドロキサム酸、4−アミノベンゾヒドロキサム酸、4−クロロベンゾヒドロキサム酸、4−フルオロベンゾヒドロキサム酸、4−ニトロベンゾヒドロキサム酸、及びこれらの塩である。   In particular, hydroxamic acids are formohydroxamic acid, acetohydroxamic acid, benzohydroxamic acid, salicylhydroxamic acid, 2-aminobenzohydroxamic acid, 2-chlorobenzohydroxamic acid, 2-fluorobenzohydroxamic acid, 2-nitrobenzohydroxamic acid, 3 -Nitrobenzohydroxamic acid, 4-aminobenzohydroxamic acid, 4-chlorobenzohydroxamic acid, 4-fluorobenzohydroxamic acid, 4-nitrobenzohydroxamic acid, and salts thereof.

上述したアミノカルボン酸は、グルタミン酸、β−ヒドロキシグルタミン酸、アスパラギン酸、アスパラギン、アザセリン、システイン、ヒスチジン、3−メチルヒスチジン、シトシン、7−アミノセファロ酸、及びカルノシンある。   The above-mentioned aminocarboxylic acids are glutamic acid, β-hydroxyglutamic acid, aspartic acid, asparagine, azaserine, cysteine, histidine, 3-methylhistidine, cytosine, 7-aminocephalic acid, and carnosine.

上述した環状モノカルボン酸は、ナフタレン−2−カルボン酸、シクロヘキサンカルボン酸、シクロヘキシル酢酸、2−フェニル乳酸、4−ヒドロキシ安息香酸、3−ヒドロキシ安息香酸、2−ピリジンカルボン酸、シス−及びトランス−シクロヘキサンカルボン酸、安息香酸、並びにこれらの塩である。   The above cyclic monocarboxylic acids are naphthalene-2-carboxylic acid, cyclohexanecarboxylic acid, cyclohexylacetic acid, 2-phenyllactic acid, 4-hydroxybenzoic acid, 3-hydroxybenzoic acid, 2-pyridinecarboxylic acid, cis- and trans-. Cyclohexanecarboxylic acid, benzoic acid, and salts thereof.

上述した不飽和モノカルボン酸は、桂皮酸、アクリル酸、3−クロロプロパ−2−エンカルボン酸、クロトン酸、4−But−2−エンカルボン酸、シス−又はトランス−2−ペンタン酸、2−メチル−2−ペンタン酸、2−ヘキセン酸及び3−エチル−2−ヘキセン酸、並びにこれらの塩である。   The unsaturated monocarboxylic acids mentioned above are cinnamic acid, acrylic acid, 3-chloroprop-2-enecarboxylic acid, crotonic acid, 4-But-2-enecarboxylic acid, cis- or trans-2-pentanoic acid, 2- Methyl-2-pentanoic acid, 2-hexenoic acid and 3-ethyl-2-hexenoic acid, and salts thereof.

上述したフェノールは、ニトロフェノール、2,6−ジハロ−4−ニトロフェノール、2,6−ジ−C1―12−アルキル−4−ニトロフェノール、2,4−ジニトロフェノール、3,4−ジニトロフェノール、2−C1―12−アルキル−4,6−ジニトロフェノール、2−ハロ−4,6−ジニトロフェノール、ジニトロ−o−クレゾール、ピクリン酸、及びこれらの塩である。 The above-mentioned phenols are nitrophenol, 2,6-dihalo-4-nitrophenol, 2,6-di-C 1-12- alkyl-4-nitrophenol, 2,4-dinitrophenol, 3,4-dinitrophenol. 2-C 1-12 -alkyl-4,6-dinitrophenol, 2-halo-4,6-dinitrophenol, dinitro-o-cresol, picric acid, and salts thereof.

上述したスルホンアミドは、N−クロロトリルスルホンアミド、ジクロロフェンアミド、マフェニド、ニメスリド、スルファメチゾール、スルファペリン、スルファセタミド、スルファジアジン、スルファジメトキシン、スルファメタジン、スルファピリジン、スルファキノキサリン、及びこれらの塩である。   The sulfonamides mentioned above are N-chlorotolylsulfonamide, dichlorophenamide, mafenide, nimesulide, sulfamethizole, sulfaperine, sulfacetamide, sulfadiazine, sulfadimethoxine, sulfamethazine, sulfapyridine, sulfaquinoxaline, and salts thereof .

上述のチオール類は、二硫化水素、システアミン、N−(L−システイニル)−L−システイン、メチルシステイン、チオフェノール、p−クロロチオフェノール、O−アミノチオフェノール、O−メルカプトフェニル酢酸、p−ニトロベンゼンチオール、2−メルカプトエタンスルホン酸塩、N−ジメチルシステアミン、ジプロピルシステアミン、ジエチルシステアミン、メルカプトエチルモルホリン、メチルチオグリコール酸塩、メルカプトエチルアミン、N−トリメチルシステイン、グルタチオン、メルカプトエチルピペリジン、ジエチルアミノプロパンチオール及びこれらの塩である。   The above thiols include hydrogen disulfide, cysteamine, N- (L-cysteinyl) -L-cysteine, methylcysteine, thiophenol, p-chlorothiophenol, O-aminothiophenol, O-mercaptophenylacetic acid, p- Nitrobenzenethiol, 2-mercaptoethanesulfonate, N-dimethylcysteamine, dipropylcysteamine, diethylcysteamine, mercaptoethylmorpholine, methylthioglycolate, mercaptoethylamine, N-trimethylcysteine, glutathione, mercaptoethylpiperidine, diethylaminopropanethiol and These salts.

研磨添加剤は、窒化物に対する酸化物の選択度を増大させると考えられている。   The polishing additive is believed to increase the selectivity of the oxide over the nitride.

US2006/0207188A1には、ポリマーの反応生成物を含有するセリア系CMPスラリーが開示されている。当該ポリマーは、例えば、ポリアクリル酸又はポリ(アルキルメタクリレート)、並びにアクリルアミド、メタクリルアミド、エチルメタクリルアミド、ビニルピリジン又はビニルピロリドン等のモノマーである。また、反応生成物は、窒化物に対する酸化物の選択度を増大させると考えられている。   US 2006/0207188 A1 discloses a ceria-based CMP slurry containing a reaction product of a polymer. The polymers are, for example, polyacrylic acid or poly (alkyl methacrylate) and monomers such as acrylamide, methacrylamide, ethyl methacrylamide, vinyl pyridine or vinyl pyrrolidone. The reaction product is also believed to increase the selectivity of the oxide over the nitride.

US2006/0216935A1には、タンパク質、リジン及び/又はアルギニン並びにピロリドン化合物を含有するセリア系CMPスラリーが開示されている。なお、ピロリドン化合物は、例えば、ポリビニルピロリドン(PVP)、N−オクチル−2−ピロリドン、N−エチル−2−ピロリドン、N−ヒドロキシエチル−2−ピロリドン、N−シクロヘキシル−2−ピロリドン、N−ブチル−2−ピロリドン、N−ヘキシル−2−ピロリドン、N−デシル−2−ピロリドン、N−オクタデシル−2−ピロリドン、及びN−ヘキサデシル−2−ピロリドンである。さらに、セリア系CMPスラリーは、ポリアクリル酸、グリコール、及びポリグリコールのような分散剤を含んでいても良い。具体例としては、プロリン、ポリビニルピロリドン又はN−オクチル−2−ピロリドン、PPO/PEOブロックコポリマー、及びグルタルアルデヒドである。セリア系CMPスラリーは、積極的に、トレンチの二酸化ケイ素を除去することが無いと考えられ、これにより、最小段の高さをほぼ増加させることなく、端点を超えて拡張された研磨が可能となる。   US 2006/0216935 A1 discloses a ceria-based CMP slurry containing protein, lysine and / or arginine and a pyrrolidone compound. Examples of the pyrrolidone compound include polyvinyl pyrrolidone (PVP), N-octyl-2-pyrrolidone, N-ethyl-2-pyrrolidone, N-hydroxyethyl-2-pyrrolidone, N-cyclohexyl-2-pyrrolidone, and N-butyl. 2-pyrrolidone, N-hexyl-2-pyrrolidone, N-decyl-2-pyrrolidone, N-octadecyl-2-pyrrolidone, and N-hexadecyl-2-pyrrolidone. Furthermore, the ceria-based CMP slurry may contain a dispersant such as polyacrylic acid, glycol, and polyglycol. Specific examples are proline, polyvinylpyrrolidone or N-octyl-2-pyrrolidone, PPO / PEO block copolymer, and glutaraldehyde. Ceria-based CMP slurries are considered not to actively remove silicon dioxide in trenches, which allows for extended polishing beyond end points without substantially increasing the height of the minimum step. Become.

US2007/0077865A1には、ポリエチレンオキシド/ポリプロピレンオキシドコポリマー(BASF社によりPluronicシリーズとして販売されている)を含むセリア系CMPスラリーが開示されている。また、このセリア系CMPスラリーは、例えば、2−ジメチルアミノ−2−メチル−1−プロパノール(DMAMP)、2−アミノ−2−エチル−1−プロパノール(AMP)、2−(2−アミノエチルアミノ)エタノール、2−(イソプロピルアミノ)エタノール、2−(メチルアミノ)エタノール、2−(ジエチルアミノ)エタノール、2−(2−ジメチルアミノ)エトキシ)エタノール、1,1’−[[3−(ジメチルアミノ)プロピル]イミノ]−bis−2−プロパノール、2−(2−ブチルアミノ)エタノール、2−(tert−ブチルアミノ)エタノール、2−(ジイソプロピルアミノ)エタノール、及びN−(3−アミノプロピル)モルホリンを含む。   US2007 / 0077865A1 discloses a ceria-based CMP slurry containing a polyethylene oxide / polypropylene oxide copolymer (sold by the BASF company as the Pluronic series). The ceria-based CMP slurry is, for example, 2-dimethylamino-2-methyl-1-propanol (DMAP), 2-amino-2-ethyl-1-propanol (AMP), 2- (2-aminoethylamino). ) Ethanol, 2- (isopropylamino) ethanol, 2- (methylamino) ethanol, 2- (diethylamino) ethanol, 2- (2-dimethylamino) ethoxy) ethanol, 1,1 ′-[[3- (dimethylamino) ) Propyl] imino] -bis-2-propanol, 2- (2-butylamino) ethanol, 2- (tert-butylamino) ethanol, 2- (diisopropylamino) ethanol, and N- (3-aminopropyl) morpholine including.

さらに、セリア系CMPスラリーは、水酸化テトラメチルアンモニウム等の第四級アンモニウム化合物、塗膜形成剤、及び錯化剤を含む。塗膜形成剤は、例えば、アルキルアミン、アルカノールアミン、ヒドロキシルアミン、リン酸エステル、ラウリル硫酸ナトリウム、脂肪酸、ポリアクリレート、ポリメタクリレート、ポリビニルホスホン酸塩、ポリリンゴ酸塩、ポリスチレンスルホン酸塩、ポリビニル硫酸塩、ベンゾトリアゾール、トリアゾール、及びベンゾイミダゾールである。また、錯化剤は、例えば、アセチルアセトン、酢酸塩、グリコール酸塩、乳酸塩、グルコン酸塩、没食子酸、シュウ酸塩、フタル酸塩、クエン酸塩、コハク酸塩、酒石酸塩、リンゴ酸塩、エチレンジアミン四酢酸、エチレングリコール、ピロカテコール、ピロガロール、タンニン酸、ホスホニウム塩、及びホスホン酸である。セリア系CMPスラリーは、ポリシリコンに対して、酸化ケイ素及び/又は窒化ケイ素の良好な選択度を提供すると考えられる。   Furthermore, the ceria-based CMP slurry contains a quaternary ammonium compound such as tetramethylammonium hydroxide, a film forming agent, and a complexing agent. Examples of the film forming agent include alkylamine, alkanolamine, hydroxylamine, phosphate ester, sodium lauryl sulfate, fatty acid, polyacrylate, polymethacrylate, polyvinylphosphonate, polymalate, polystyrenesulfonate, polyvinylsulfate , Benzotriazole, triazole, and benzimidazole. Complexing agents include, for example, acetylacetone, acetate, glycolate, lactate, gluconate, gallic acid, oxalate, phthalate, citrate, succinate, tartrate, malate , Ethylenediaminetetraacetic acid, ethylene glycol, pyrocatechol, pyrogallol, tannic acid, phosphonium salt, and phosphonic acid. Ceria-based CMP slurries are believed to provide good selectivity for silicon oxide and / or silicon nitride over polysilicon.

US2007/0175104A1には、水溶性ポリマー類から選択されるポリシリコン摩耗抑制剤を含むセリア系CMPスラリーが開示されている。上記水溶性ポリマー類は、アクリルアミド、メタクリルアミド、及びこれらのα−置換誘導体から選択される群からなる要素により置換される、N−モノ置換又はN,N−ジ−置換された骨格を有する。   US 2007/0175104 A1 discloses a ceria-based CMP slurry containing a polysilicon wear inhibitor selected from water-soluble polymers. The water-soluble polymers have an N-monosubstituted or N, N-di-substituted backbone that is substituted with an element selected from the group selected from acrylamide, methacrylamide, and α-substituted derivatives thereof.

また、水溶性ポリマー類は、ポリエチレングリコール、ポリビニルピロリドン、アルキルオキシレート直鎖脂肪族アルコール、及びアセチレン系ジオールのエチレンオキシド付加物である。更に、セリア系CMPスラリーは、アルギン酸、ペクチン酸、カルボキシメチルセルロース、寒天、カードラン、プルラン等の多糖類;ポリアスパラギン酸、ポリグルタミン酸、ポリリシン、ポリリンゴ酸、ポリメタクリル酸、ポリイミド酸、ポリマレイン酸、ポリイタコン酸、ポリフマル酸、ポリ(p−スチレンカルボン酸)、ポリアクリル酸、ポリアクリルアミド、アミノポリアクリルアミド、ポリグリオキザル酸、及びこれらの塩等のポリカルボン酸;ポリビニルアルコール等のビニルポリマー;並びにポリアクロレインである。セリア系CMPスラリーは、ポリシリコンにおける酸化ケイ素の選択度が非常に高い。   Water-soluble polymers are polyethylene glycol, polyvinyl pyrrolidone, alkyloxylate linear aliphatic alcohols, and ethylene oxide adducts of acetylenic diols. Further, the ceria-based CMP slurry is composed of polysaccharides such as alginic acid, pectic acid, carboxymethylcellulose, agar, curdlan, and pullulan; polyaspartic acid, polyglutamic acid, polylysine, polymalic acid, polymethacrylic acid, polyimide acid, polymaleic acid, polyitacon. Polycarboxylic acids such as acid, polyfumaric acid, poly (p-styrene carboxylic acid), polyacrylic acid, polyacrylamide, aminopolyacrylamide, polyglioxalic acid, and salts thereof; vinyl polymers such as polyvinyl alcohol; and polyacrolein . Ceria-based CMP slurry has very high selectivity for silicon oxide in polysilicon.

US2007/0191244A1には、重量平均分子量が30〜500であり、ヒドロキシル基若しくはカルボキシル基又はこの両方を含むセリア系CMPスラリーが開示されている。ヒドロキシル基又はカルボキシル基は、クエン酸塩、リンゴ酸塩、グルコン酸塩、酒石酸塩、2−ヒドロキシイソ酪酸塩、アジピン酸塩、オクタン酸、コハク酸、EDTA含有化合物、グルタン酸塩、メチレンコハク酸塩、マンノース、グリセロ−ガラクトヘプトース、エリスロ−マンノ−オクトース、アラビノ−ガラクトノノース、及びグルタミンである。さらに、セリア系CMPスラリーは、直鎖ポリマー酸又はアルコキシグリコール側鎖を有するグラフト型ポリマー酸を含有してもよい。セリア系CMPスラリーは、研磨されたウェーハの全体的平面度の改善を実現すると記載されている。   US2007 / 0191244A1 discloses a ceria-based CMP slurry having a weight average molecular weight of 30 to 500 and containing hydroxyl groups or carboxyl groups or both. Hydroxyl group or carboxyl group is citrate, malate, gluconate, tartrate, 2-hydroxyisobutyrate, adipate, octanoic acid, succinic acid, EDTA-containing compound, glutanate, methylene succinic acid Salts, mannose, glycero-galactoheptose, erythro-manno-octose, arabino-galactonose, and glutamine. Further, the ceria-based CMP slurry may contain a linear polymer acid or a graft polymer acid having an alkoxy glycol side chain. Ceria-based CMP slurries are described as providing improved overall flatness of the polished wafer.

US2007/0218811A1には、pHが4〜7.5であり、分散剤、ポリカルボン酸、及び100〜1000ppmの濃度での3.2以下のpKaを有する第一の非解離性酸性基を有する強酸を含有したセリア系CMPスラリーが開示されている。この例によれば、アクリル酸及びメタクリル酸のポリマーが、アニオン性分散剤として挙げられ、ポリオキシエチレン誘導体は、ノニオン性分散剤として挙げられており、及びポリビニルピロリドンがカチオン性分散剤として記載されている。特に上述の強酸は、硫酸、塩酸、硝酸、リン酸、シュウ酸、マレイン酸、ピクリン酸、亜硫酸、チオ亜硫酸、アミド硫酸、塩素酸、過塩素酸、亜塩素酸、ヨウ化水素酸、過ヨウ素酸、ヨウ素酸、臭化水素酸、過臭素酸、クロム酸、亜硝酸、ジホスホン酸、トリポリリン酸、ホスフィン酸、ピコリン酸、ホスホン酸、イソニコチン酸、ニコチン酸、トリクロロ酢酸、ジクロロ酢酸、クロロ酢酸、シアノ酢酸、オキサロ酢酸、ニトロ酢酸、ブロモ酢酸、フルオロ酢酸、フェノキシ酢酸、o−ブロモ安息香酸、o−ニトロ安息香酸、o−クロロ安息香酸、p−アミノ安息香酸、アントラニル酸、フタル酸、フマル酸、マロン酸、酒石酸、クエン酸、o−クロロアニリン、2,2’−ビピリジン、4,4’−ビピリジン、2,6−ピリジンジカルボン酸、ピルビン酸、ポリスチレンスルホン酸、ポリスルホン酸、グルタミン酸、サリチル酸、アスパラギン酸、2−アミノエチルホスホン酸、リジン、アルギニン、イソロイシン、サルコシン、オルニチン、グアノシン、シトルリン、チロシン、バリン、ヒポキサンチン、メチオニン、リジン、及びロイシンである。上記セリア系CMPスラリーによれば、効率的な高速操作、及び容易なプロセス管理が可能となり、パターン密度の差に起因する膜厚における変動を小さくすることができる。   US2007 / 0218811A1 has a pH of 4 to 7.5, a dispersant, a polycarboxylic acid, and a strong acid having a first non-dissociable acidic group having a pKa of 3.2 or less at a concentration of 100 to 1000 ppm A ceria-based CMP slurry containing bismuth is disclosed. According to this example, polymers of acrylic acid and methacrylic acid are mentioned as anionic dispersants, polyoxyethylene derivatives are mentioned as nonionic dispersants, and polyvinylpyrrolidone is described as a cationic dispersant. ing. In particular, the strong acids mentioned above are sulfuric acid, hydrochloric acid, nitric acid, phosphoric acid, oxalic acid, maleic acid, picric acid, sulfurous acid, thiosulfuric acid, amidosulfuric acid, chloric acid, perchloric acid, chlorous acid, hydroiodic acid, periodate. Acid, iodic acid, hydrobromic acid, perbromic acid, chromic acid, nitrous acid, diphosphonic acid, tripolyphosphoric acid, phosphinic acid, picolinic acid, phosphonic acid, isonicotinic acid, nicotinic acid, trichloroacetic acid, dichloroacetic acid, chloroacetic acid , Cyanoacetic acid, oxaloacetic acid, nitroacetic acid, bromoacetic acid, fluoroacetic acid, phenoxyacetic acid, o-bromobenzoic acid, o-nitrobenzoic acid, o-chlorobenzoic acid, p-aminobenzoic acid, anthranilic acid, phthalic acid, fumaric Acid, malonic acid, tartaric acid, citric acid, o-chloroaniline, 2,2'-bipyridine, 4,4'-bipyridine, 2,6-pyridinedicarboxylic acid , Pyruvic acid, polystyrene sulfonic acid, polysulfonic acid, glutamic acid, salicylic acid, aspartic acid, 2-aminoethylphosphonic acid, lysine, arginine, isoleucine, sarcosine, ornithine, guanosine, citrulline, tyrosine, valine, hypoxanthine, methionine, lysine, And leucine. According to the ceria-based CMP slurry, efficient high-speed operation and easy process management are possible, and variations in film thickness due to a difference in pattern density can be reduced.

電子デバイスの製造、特に、半導体集積回路(ICs)の製造においては、とりわけ高い選択度のCMPを用いた高精度な方法を必要とする。   In the manufacture of electronic devices, particularly in the manufacture of semiconductor integrated circuits (ICs), a highly accurate method using CMP with particularly high selectivity is required.

従来技術のセリア系CMPスラリーは、十分な窒化物に対する酸化物の選択度、ウェーハ面内の不均一性(WIWNU)、及びウェーハとウェーハの間の不均一性(WTWNU)によって例示される良好な全体及び局所的平面度を有するが、ICアーキテクチャの大きさが減少する場合であっても、特にLSI(大規模集積回路)又はVLSI(超大規模集積回路)を伴うICにおいては、集積回路装置における製造者に対して増加する技術的かつ経済的な要求を満たすために、セリア系CMPスラリーの一定の改善を必要とする。   Prior art ceria-based CMP slurries are good exemplified by oxide selectivity to sufficient nitride, non-uniformity in wafer plane (WIWNU), and non-uniformity between wafers (WTWNU). In an integrated circuit device, especially in an IC with LSI (Large Scale Integrated Circuit) or VLSI (Very Large Scale Integrated Circuit), even though it has overall and local flatness but the size of the IC architecture is reduced In order to meet the increasing technical and economic demands for manufacturers, certain improvements of ceria based CMP slurries are required.

しかし、従来のセリア系CMPスラリーに対する差し迫った要求は、集積回路装置の分野にのみ当てはまるものではなく、他の電子機器の分野においても研磨及び平面化効果の改良が必要である。なお、他の電子機器とは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、光電池、及び磁気ヘッドである。また、高精度の機械装置、及び光学デバイスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、例えば光ファイバ及びシンチレータの端面における光学単結晶、固体レーザ単結晶、青色レーザLED用のサファイア基板、半導体単結晶、及び磁気ディスク用のガラス基板である。   However, the urgent demand for conventional ceria-based CMP slurries does not apply only to the field of integrated circuit devices, and the polishing and planarization effects need to be improved in the field of other electronic devices. Other electronic devices are, for example, a liquid crystal panel, an organic electroluminescence panel, a printed circuit board, a micromachine, a DNA chip, a microplant, a photovoltaic cell, and a magnetic head. In addition, as high-precision mechanical devices and optical devices, photomasks, lenses and prisms, conductive films of inorganic materials such as indium tin oxide (ITO), optical integrated circuits, optical switching elements, optical waveguides such as light An optical single crystal, a solid-state laser single crystal, a sapphire substrate for blue laser LED, a semiconductor single crystal, and a glass substrate for a magnetic disk at the end faces of the fiber and scintillator.

また、高精度機械装置の製造においては、高精度なCMPプロセス工程が要求される。従来技術セリア系CMPスラリーの主な欠点の1つは、それらが微生物や菌による攻撃を受けやすいということである。したがって、CMPスラリーは、細菌及び真菌が増殖することによりセリア研磨粒子に対して不可逆的凝集及び沈降がもたらされることで、当該セリア研磨粒子の粒度分布に悪影響を与えて保管について不安定になる。   Further, in manufacturing a high-precision machine device, a high-precision CMP process step is required. One of the main drawbacks of prior art ceria-based CMP slurries is that they are susceptible to attack by microorganisms and fungi. Accordingly, the CMP slurry is unstable for storage by adversely affecting the particle size distribution of the ceria abrasive particles by causing irreversible aggregation and sedimentation of the ceria abrasive particles due to the growth of bacteria and fungi.

一つの試みは、殺生物剤を添加することにより、この深刻な問題を改善することである。しかしながら、従来の殺生物剤は、また予測し得ない態様で、研磨剤の粒径分布を不安定にする恐れがある。   One attempt is to remedy this serious problem by adding biocides. However, conventional biocides can also destabilize the abrasive particle size distribution in an unpredictable manner.

ドイツ特許公開DE3835370A1、US特許5393874、欧州特許公開EP0588249A1、及び国際公開WO90/01033には、N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩、これらの製造方法、並びにこれらの使用方法が記載されている。当該使用方法では、これら物質を、繊維、プラスチック、建物の材料や塗料系の仕上げに適した消毒剤として、殺菌剤及び防カビ剤ととともに木材防腐剤として使用することが記載されている。N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド酸塩は、研磨用組成物中、特にセリア系CMPスラリー中において使用することができる。   German Patent Publication DE 3835370A1, US Patent 5393874, European Patent Publication EP0588249A1 and International Publication WO90 / 01033 include N-substituted diazenium dioxide and N'-hydroxydiazenium oxide salts, methods for their preparation, and The usage is described. The method of use describes that these substances are used as wood preservatives together with disinfectants and fungicides as disinfectants suitable for finishing fibers, plastics, building materials and paint systems. N-substituted diazenium dioxide and N'-hydroxydiazenium oxide can be used in the polishing composition, particularly in the ceria-based CMP slurry.

US5,738,800BUS 5,738,800B US6,042,741BUS 6,042,741B US6,132,637BUS 6,132,637B US6,218,305BUS 6,218,305B US5,759,917BUS5,759,917B US6,689,692 B1US6,689,692 B1 US6,984,588 B2US 6,984,588 B2 US6,299,659 B1US 6,299,659 B1 US2002/0034875 A1US2002 / 0034875 A1 US6,626,968 B2US6,626,968 B2 US6,436,835 B1US6,436,835 B1 US6,491,843 B1US 6,491,843 B1 US6,544,892 B2US 6,544,892 B2 US6,627,107 B2US 6,627,107 B2 US6,616,514 B1US 6,616,514 B1 US7,071,105 B2US7,071,105 B2 特開2005−336400JP 2005-336400 A US2006/0144824 A1US2006 / 0144824 A1 US2006/0207188 A1US2006 / 0207188 A1 US2006/0216935 A1US2006 / 0216935 A1 US2007/0077865 A1US2007 / 0077865 A1 US2007/0175104 A1US2007 / 0175104 A1 US2007/0191244 A1US2007 / 0191244 A1 US2007/0218811 A1US2007 / 0218811 A1 DE38 35 370 A1DE38 35 370 A1 US5,393,874BUS 5,393,874B EP0588249A1EP0588249A1 WO90/01033AWO90 / 01033A

従って、本発明の目的は、新規な水性研磨用組成物を提供すること、特に、新規な化学機械研磨(CMP)組成物、特に従来の研磨剤組成物の不利益及び欠点を示さない新規なセリア系CMPスラリーを提供することである。   Accordingly, it is an object of the present invention to provide a novel aqueous polishing composition, in particular a novel chemical mechanical polishing (CMP) composition, in particular a novel which does not exhibit the disadvantages and disadvantages of conventional abrasive compositions. It is to provide a ceria based CMP slurry.

特に、新規な水性研磨剤組成物、より詳細には新規な化学機械研磨(CMP)組成物、より詳細には新規なセリア系CMPスラリーは、大幅に改善された窒化物に対する酸化物の選択度を示し、良好な全体的及び局所的平面度を有する研磨ウェーハが得られる。このウェーハの良好な全体的及び局所的平面度は、ウェーハ内の不均一性(WIWNU)及びウェーハ間の不均一性(WTWNU)により例示されるものである。従って、ウェーハは、50nm以下のサイズを有する構造のICアーキテクチャ、特にLSI(大規模集積回路)又はVLSI(超大規模集積回路)を有するICsの製造において極めて好適である。   In particular, novel aqueous abrasive compositions, more particularly novel chemical mechanical polishing (CMP) compositions, and more particularly novel ceria-based CMP slurries have greatly improved oxide selectivity to nitride. And a polished wafer with good overall and local flatness is obtained. The good overall and local flatness of this wafer is exemplified by in-wafer non-uniformity (WIWNU) and inter-wafer non-uniformity (WTWNU). Therefore, the wafer is very suitable for the manufacture of IC architectures having a structure having a size of 50 nm or less, particularly ICs having LSI (Large Scale Integrated Circuit) or VLSI (Very Large Scale Integrated Circuit).

また、新規な水性研磨剤組成物、より詳細には新規な化学機械研磨(CMP)組成物、より詳細には新規なセリア系CMPスラリーは、集積回路装置の分野において非常に有用であるだけでなく、他の電気デバイスの製造の分野においても最も効率的かつ有利となるべきである。なお、他の電気デバイスとは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、並びに高精度機械デバイス及び光学デバイス、特に光学ガラスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶は、固体レーザ単結晶、青色LEDレーザ用のサファイア基板、半導体単結晶、並びに磁気ディスク用のガラス基板である。   Also, novel aqueous abrasive compositions, more particularly novel chemical mechanical polishing (CMP) compositions, and more particularly novel ceria-based CMP slurries, are only very useful in the field of integrated circuit devices. And should be most efficient and advantageous in the field of manufacturing other electrical devices. Other electric devices are, for example, liquid crystal panels, organic electroluminescence panels, printed circuit boards, micromachines, DNA chips, microplants, and magnetic heads, and high-precision mechanical devices and optical devices, particularly optical glass. Optical single crystals such as photomasks, lenses and prisms, conductive films of inorganic materials such as indium tin oxide (ITO), optical integrated circuits, optical switching elements, optical waveguides, optical fibers and scintillators are solid Laser single crystals, sapphire substrates for blue LED lasers, semiconductor single crystals, and glass substrates for magnetic disks.

最も具体的には、新規なセリア系CMPスラリーは、微生物及び真菌による攻撃を受けるべきではなく、したがって、長期の保管の間に細菌及び真菌の増殖が生じず、研磨セリア粒子の粒度分布が不安定とならないべきである。この結果として、セリア粒子の不可逆凝集及び沈降が生じるべきではない。   Most specifically, the novel ceria-based CMP slurries should not be attacked by microorganisms and fungi, and therefore no bacterial and fungal growth will occur during long-term storage and the abrasive ceria particle size distribution will be poor. Should not be stable. As a result of this, irreversible aggregation and settling of ceria particles should not occur.

また、本発明の他の目的は、N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩の新規な使用方法を提供することである。   Another object of the present invention is to provide a novel method of using N-substituted diazenium dioxide and N'-hydroxydiazenium oxide salts.

更に、本発明の目的は、機械デバイス、電気デバイス及び光学デバイスの基板材料を研磨するための新規な方法を提供することである。   It is a further object of the present invention to provide a novel method for polishing substrate materials of mechanical, electrical and optical devices.

従って、新規な水性研磨剤組成物を発見した。この研磨用組成物は、
(A)N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される少なくとも1種の水溶性又は水分散性化合物と、
(B)少なくとも一種の研磨粒子と、
を含む。
Accordingly, a novel aqueous abrasive composition has been discovered. This polishing composition is
(A) at least one water-soluble or water-dispersible compound selected from the group consisting of N-substituted diazenium dioxide and N′-hydroxydiazenium oxide salt;
(B) at least one abrasive particle;
including.

以下では、新規な水性研磨剤組成物は、「本発明の組成物」と記載する。   Hereinafter, the novel aqueous abrasive composition is referred to as “the composition of the present invention”.

更に、機械、電気、及び光学デバイスの基板材料を研磨するための新規な方法を発見した。この方法では、本発明の組成物を用いる。   In addition, new methods have been discovered for polishing substrate materials for mechanical, electrical, and optical devices. In this method, the composition of the present invention is used.

最後に、特に、機械デバイス、電気デバイス、及び光学デバイスを製造するためのN−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩の新規な使用方法を発見した。この使用方法を以下では本発明の使用方法と記載する。   Finally, we have discovered new uses of N-substituted diazenium dioxide and N'-hydroxydiazenium oxide salts, especially for making mechanical, electrical and optical devices. Hereinafter, this method of use is described as a method of use of the present invention.

本発明の目的が、本発明の組成物、本発明の方法及び本発明の使用方法によって解決できることは、驚きべきことであり、当業者にとって従来技術から容易に予測できものではない。   It is surprising that the object of the present invention can be solved by the composition of the present invention, the method of the present invention and the method of using the present invention, and is not easily predicted from the prior art by those skilled in the art.

本発明の組成物は、驚くべきことに、窒化物に対する酸化物の選択度、並びにウェーハ面内の不均一性(WIWNU)及びウェーハ間の不均一性(WTWNU)によって例示される良好な全体的平面度及び局所的平面度を有する研磨ウェーハの収率について大幅な改善を示す。したがって、本発明の組成物は、50nm以下の大きさの構造を有するICアーキテクチャ、特にLSI(大規模集積回路)又はVLSI(超大規模集積回路)を備えるICsの製造に極めて適している。   The composition of the present invention surprisingly has a good overall performance as exemplified by the selectivity of the oxide over the nitride, as well as in-wafer non-uniformity (WIWNU) and inter-wafer non-uniformity (WTWNU). It shows a significant improvement in the yield of polished wafers with flatness and local flatness. Therefore, the composition of the present invention is extremely suitable for the production of IC architectures having a structure with a size of 50 nm or less, particularly ICs comprising LSI (Large Scale Integrated Circuit) or VLSI (Very Large Scale Integrated Circuit).

さらに、本発明の組成物は、集積回路装置の分野において非常に有用であるだけでなく、他の電気デバイスの製造の分野においても最も効率的かつ有利となる。なお、他の電気デバイスとは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、並びに高精度機械デバイス及び光学デバイス、特に光学ガラスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶は、固体レーザ単結晶、青色LEDレーザ用のサファイア基板、半導体単結晶、並びに磁気ディスク用のガラス基板である。   Furthermore, the compositions of the present invention are not only very useful in the field of integrated circuit devices, but are also most efficient and advantageous in the field of manufacturing other electrical devices. Other electric devices are, for example, liquid crystal panels, organic electroluminescence panels, printed circuit boards, micromachines, DNA chips, microplants, and magnetic heads, and high-precision mechanical devices and optical devices, particularly optical glass. Optical single crystals such as photomasks, lenses and prisms, conductive films of inorganic materials such as indium tin oxide (ITO), optical integrated circuits, optical switching elements, optical waveguides, optical fibers and scintillators are solid Laser single crystals, sapphire substrates for blue LED lasers, semiconductor single crystals, and glass substrates for magnetic disks.

最も具体的には、本発明の組成物は、微生物及び真菌による攻撃を受けず、したがって、長期の保管の間に細菌及び真菌の増殖が生じず、研磨セリア粒子の粒度分布のが不安定とならない。この結果として、セリア粒子の不可逆凝集及び沈降が生じない。   Most specifically, the compositions of the present invention are not subject to attack by microorganisms and fungi, and therefore, no growth of bacteria and fungi occurs during long-term storage, and the size distribution of the abrasive ceria particles is unstable. Don't be. As a result, irreversible aggregation and sedimentation of ceria particles do not occur.

従って、本発明の組成物は、特に、本発明の方法にもっとも有用である。本発明の方法は、電気デバイス用の基板材料の研磨、特に化学的機械研磨に最も好適に用いられる。なお、電気デバイスは、例えば、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、並びに高精度機械デバイス及び光学デバイス、特に光学ガラスとして、フォトマスク、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶は、固体レーザ単結晶、青色LEDレーザ用のサファイア基板、半導体単結晶、並びに磁気ディスク用のガラス基板である。   Thus, the compositions of the present invention are particularly useful for the methods of the present invention. The method of the present invention is most preferably used for polishing substrate materials for electrical devices, particularly chemical mechanical polishing. The electrical devices are, for example, liquid crystal panels, organic electroluminescence panels, printed circuit boards, micromachines, DNA chips, microplants, and magnetic heads, and high-precision mechanical devices and optical devices, particularly photomasks as optical glass. Optical single crystals such as lenses and prisms, conductive films of inorganic materials such as indium tin oxide (ITO), optical integrated circuits, optical switching elements, optical waveguides, optical fibers and scintillators are solid-state laser single crystals Sapphire substrates for blue LED lasers, semiconductor single crystals, and glass substrates for magnetic disks.

本発明の組成物は、水性組成物である。これは、組成物が主溶媒及び分散剤として水、特に超純水を含有することを意味する。それにもかかわらず、本発明の組成物は、本発明の組成物は、当該組成物の水性の性質を変えない程度の少量で少なくとも1種の水混和性有機溶媒を含有してもよい。   The composition of the present invention is an aqueous composition. This means that the composition contains water, in particular ultrapure water, as the main solvent and dispersant. Nevertheless, the composition of the present invention may contain at least one water-miscible organic solvent in such a small amount that the composition of the present invention does not alter the aqueous nature of the composition.

本発明の組成物は、当該組成物の全質量を基準として、好ましくは、60〜99.95質量%、より好ましくは70〜99.9質量%、さらに好ましくは90〜99.9質量%の水を含む。   The composition of the present invention is preferably 60 to 99.95% by mass, more preferably 70 to 99.9% by mass, and still more preferably 90 to 99.9% by mass, based on the total mass of the composition. Contains water.

本発明の組成物は、第一の必須成分又は要素の少なくとも一種として、好ましくは、一種の水溶性若しくは水分散性の化合物を含む。この化合物は、N−置換されたジアゼニウムジオキシド(A)、及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される。   The composition of the present invention preferably contains one water-soluble or water-dispersible compound as at least one of the first essential components or elements. This compound is selected from the group consisting of N-substituted diazenium dioxide (A) and N'-hydroxydiazenium oxide salts.

「水溶性」とは、関連する化合物(A)が、水溶性媒体に分子レベルで分散することを意味する。一方で、「水分散性」とは、化合物(A)が水性媒体中に微細に分散し、安定した状態の懸濁液又は乳濁液、好ましくは安定した懸濁液を形成することができることを意味する。最も好ましくは、化合物(A)は水溶性である。   “Water-soluble” means that the related compound (A) is dispersed in a water-soluble medium at a molecular level. On the other hand, “water dispersibility” means that the compound (A) can be finely dispersed in an aqueous medium to form a stable suspension or emulsion, preferably a stable suspension. Means. Most preferably, compound (A) is water soluble.

好ましくは、N−置換ジアゼニウムジオキシド(A)は、一般式Iのものである。   Preferably, the N-substituted diazenium dioxide (A) is of the general formula I.

Figure 2013540850
Figure 2013540850

一般式Iにおいて、Rは、少なくとも一種の残基を含む部分を表す。当該残基は、モノマー、オリゴマー、及びポリマー、置換及び非置換、飽和及び不飽和の脂肪族及び脂環式の基からなる群から選択され、これらの基は、少なくとも1個のヘテロ原子及び/又は少なくとも1つの二官能性又は三官能性架橋基を含まないか或いは含んでおり、モノマー、オリゴマー、及びポリマー、置換及び非置換、飽和及び不飽和の脂肪族及び脂環式の基は、少なくとも1個のヘテロ原子を含まないか或いは含んでいる。   In general formula I, R represents a moiety containing at least one residue. The residue is selected from the group consisting of monomers, oligomers, and polymers, substituted and unsubstituted, saturated and unsaturated aliphatic and alicyclic groups, which groups contain at least one heteroatom and / or Or at least one difunctional or trifunctional bridging group is not included or includes monomers, oligomers and polymers, substituted and unsubstituted, saturated and unsaturated aliphatic and cycloaliphatic groups at least Does not contain or contains one heteroatom.

一般式Iにおいて、nは1〜1000、好ましくは1〜500、より好ましくは1〜100、及びより好ましくは、1〜50、最も好ましくは、1〜10の数である。残基Rがオリゴマー又はポリマー部分である場合には、数nは必ずしも整数である必要はなく、端数があっても良い。これは、オリゴマー及びポリマー部分の統計的性質によるものである。残基Rは、モノマー部分である場合には、数nは、通常、整数である。   In general formula I, n is a number from 1 to 1000, preferably from 1 to 500, more preferably from 1 to 100, and more preferably from 1 to 50, most preferably from 1 to 10. When the residue R is an oligomer or polymer part, the number n does not necessarily have to be an integer, and may have a fraction. This is due to the statistical nature of the oligomer and polymer parts. When residue R is a monomer moiety, the number n is usually an integer.

したがって、ジアゼニウムジオキシド基に関して、残基Rは、単官能又は多官能であっても良く、これは、残基Rが一種以上のジアゼニウムジオキシド基を含むことを意味する。   Thus, with respect to the diazenium dioxide group, the residue R may be monofunctional or polyfunctional, meaning that the residue R contains one or more diazenium dioxide groups.

残基Rは、少なくとも1個のヘテロ原子及び/又は少なくとも1つの二官能性又は三官能性の架橋基を含む場合には、ジアゼニウムジオキシド基が、残基Rの炭素原子と結合していることが好ましい。   If residue R contains at least one heteroatom and / or at least one difunctional or trifunctional bridging group, then the diazenium dioxide group is bonded to the carbon atom of residue R. It is preferable.

与えられた残基Rが、後により詳細に説明する上述の部分の一つからなっていても良く、また、残基Rは、後により詳細に説明する上述の部分を2種以上含んでいても良い。これら基が相互に異なっていても良く、少なくとも一つの共有結合により相互に連結されているか、及び/又は後に詳細に説明する上述の一種以上の架橋基により相互に結合されていても良い。   A given residue R may consist of one of the above-mentioned parts described in more detail later, and the residue R contains two or more of the above-mentioned parts described in more detail later. Also good. These groups may be different from each other, may be connected to each other by at least one covalent bond, and / or may be connected to each other by one or more bridging groups described in detail later.

本発明において「モノマー」とは、関連する残基Rが、1つの特徴的な構造単位又は2つの特徴的な構造単位を含むか、これら構造単位から成るモノマー化合物R’から生じるものであることを意味する。モノマー化合物R’は、好ましくは40〜1000ダルトンの範囲の分子量を有する。   In the present invention, the term “monomer” means that the related residue R is derived from a monomeric compound R ′ that contains or consists of one characteristic structural unit or two characteristic structural units. Means. Monomer compound R 'preferably has a molecular weight in the range of 40 to 1000 daltons.

本発明において「オリゴマー」とは、関連する残基Rが、3〜約12個の特徴的な繰り返し構造単位を含むか、これら構造単位から成るオリゴマー化合物R’から生じるものであることを意味する。オリゴマー化合物R’は、好ましくは、100〜2500ダルトンの範囲の重量平均分子量Mwを有する。   In the present invention, the term “oligomer” means that the related residue R is derived from an oligomeric compound R ′ containing 3 to about 12 characteristic repeating structural units or consisting of these structural units. . The oligomeric compound R 'preferably has a weight average molecular weight Mw in the range of 100 to 2500 daltons.

本発明において「ポリマー」とは、関連する残基Rが、少なくとも12個の特徴的な繰り返し構造単位を含むか、これら構造単位から成るポリマー化合物R’から生じるものであることを意味する。ポリマー化合物R’は、好ましくは、500〜2000000ダルトン、より好ましくは1000〜1000000ダルトン、及び最も好ましくは5000〜500000ダルトンの範囲の重量平均分子量Mwを有する。   In the present invention, “polymer” means that the related residue R is derived from a polymer compound R ′ comprising or consisting of at least 12 characteristic repeating structural units. The polymer compound R 'preferably has a weight average molecular weight Mw in the range of 500-2 million daltons, more preferably 1000-1 million daltons, and most preferably 5000-500000 daltons.

「非置換」とは、関連する残基Rが、下記のヘテロ原子を除き、炭素原子及び水素原子のみから成ることを意味する。   “Unsubstituted” means that the relevant residue R consists of only carbon and hydrogen atoms, excluding the heteroatoms described below.

「置換」とは、関連する残基Rが、不活性、すなわち、本発明の組成物における化合物(A)の製造、処理、貯蔵、及び使用をする条件下で反応しない少なくとも一つの置換基を含むことを意味する。   “Substituted” refers to at least one substituent in which the associated residue R is inert, ie, does not react under conditions of manufacture, processing, storage, and use of compound (A) in the composition of the invention. It means to include.

好適な不活性置換基の例としては、フッ素、塩素、臭素等のハロゲン原子、ヒドロキシ基、カルボン酸基、スルホン酸基、ホスフィン酸基、ニトロ基、及びニトリル基、好ましくはフッ素原子及び塩素原子及びニトリル基である。   Examples of suitable inert substituents include halogen atoms such as fluorine, chlorine, bromine, hydroxy groups, carboxylic acid groups, sulfonic acid groups, phosphinic acid groups, nitro groups, and nitrile groups, preferably fluorine atoms and chlorine atoms. And a nitrile group.

「飽和」とは、関連する残基Rが、任意のオレフィン系又はアセチレン系不飽和基を含まないことを意味する。従って、「不飽和」とは、関連する残基Rが、少なくとも1つのオレフィン系及び/又はアセチレン系不飽和基を含有することを意味する。   “Saturated” means that the associated residue R does not contain any olefinic or acetylenically unsaturated groups. Thus, “unsaturated” means that the associated residue R contains at least one olefinic and / or acetylenically unsaturated group.

ヘテロ原子は、ホウ素、酸素、硫黄、窒素、リン、及びケイ素からなる群から選択されることが好ましく、最も好ましくは酸素及び窒素からなる群から選択される。   The heteroatom is preferably selected from the group consisting of boron, oxygen, sulfur, nitrogen, phosphorus and silicon, most preferably selected from the group consisting of oxygen and nitrogen.

二官能性及び三官能性の架橋基は、上記の意味で不活性であることが好ましい。好適な二官能性及び三官能性架橋基の例は、炭酸エステル、チオ炭酸エステル、炭酸塩、チオ炭酸塩、リン酸エステル、チオリン酸エステル、ホスフィン酸エステル、チオホスホン酸エステル、亜リン酸塩、チオホスホン酸エステル、スルホン酸エステル、アミド、アミン、チオアミド、リン酸アミド、チオリン酸アミド、ホスホン酸アミド、チオホスホン酸アミド、スルホン酸アミド、イミド、ヒドラジド、ウレタン、尿素、チオ尿素、カルボニル、チオカルボニル、スルホン、及びスルホキシド基であり、特に好ましくは、炭酸塩、ウレタン、カルボニル及びカルボン酸エステル基、最も好ましくは炭酸エステル基である。   The bifunctional and trifunctional crosslinking groups are preferably inert in the above sense. Examples of suitable difunctional and trifunctional bridging groups are carbonates, thiocarbonates, carbonates, thiocarbonates, phosphate esters, thiophosphate esters, phosphinate esters, thiophosphonate esters, phosphites, Thiophosphonic acid ester, sulfonic acid ester, amide, amine, thioamide, phosphoric acid amide, thiophosphoric acid amide, phosphonic acid amide, thiophosphonic acid amide, sulfonic acid amide, imide, hydrazide, urethane, urea, thiourea, carbonyl, thiocarbonyl, Sulfone and sulfoxide groups, particularly preferably carbonate, urethane, carbonyl and carboxylic acid ester groups, and most preferably carbonate ester groups.

好ましくは、飽和、モノマー、脂肪族の部分Rが、直鎖又は分岐の脂肪族炭化水素R’から派生するものであり、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、1〜20、より好ましくは1〜16、さらに好ましくは1〜12、最も好ましくは1〜4個の炭素原子を分子中に有している。脂肪族炭化水素R’は、特に、メタン、エタン、プロパン、ブタン、イソブタン、ペンタン、イソペンタン、ネオペンタン、ヘキサン、イソヘキサン、ヘプタン、オクタン、イソオクタン、ノナン、デカン、ウンデカン、及びドデカン、特にメタン、エタン、プロパン、ブタン、及びイソブタンである。   Preferably, the saturated, monomeric, aliphatic moiety R is derived from a linear or branched aliphatic hydrocarbon R ′, more preferably a linear or branched aliphatic hydrocarbon R ′ is 1 to 20, more preferably 1-16, even more preferably 1-12, most preferably 1-4 carbon atoms in the molecule. Aliphatic hydrocarbons R ′ are in particular methane, ethane, propane, butane, isobutane, pentane, isopentane, neopentane, hexane, isohexane, heptane, octane, isooctane, nonane, decane, undecane and dodecane, in particular methane, ethane, Propane, butane, and isobutane.

好ましくは、置換、飽和、モノマー、脂肪族の部分Rは、直鎖又は分岐の脂肪族炭化水素R’から派生し、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、1〜20、より好ましくは1〜16、さらに好ましくは1〜12、最も好ましくは1〜4個の炭素原子を分子中に有しており、フッ素及び塩素からなる群から選択される少なくとも1個のハロゲン原子を有している。   Preferably, the substituted, saturated, monomeric, aliphatic moiety R is derived from a linear or branched aliphatic hydrocarbon R ′, more preferably the linear or branched aliphatic hydrocarbon R ′ is 1-20. More preferably 1 to 16, more preferably 1 to 12, and most preferably 1 to 4 carbon atoms in the molecule, and at least one halogen atom selected from the group consisting of fluorine and chlorine. have.

好適には置換、飽和、モノマー、脂肪族の部分Rは、例えば、フルオロ、クロロ、ジフルオロ、ジクロロ、クロロフルオロ、トリフルオロ、トリクロロ、ジフルオロクロロ、及びフルオロジクロロメタン、並びに1,1−及び1,2−ジフルオロ、1,1−及び1,2−ジクロロ、1−クロロ−1−フルオロ、1−クロロ−2−フルオロ、1−ジフルオロ−2−フルオロ、2−トリフルオロ、1−ジクロロ−2−クロロ、2−トリクロロ、1−ジフルオロ−2−クロロ、2−ジフルオロクロロ、1−フルオロ−2−ジクロロ及び2−フルオロジクロロエタン、フルオロ、クロロ、ジフルオロ、ジクロロ、トリフルオロ、トリクロロ、テトラフルオロ、テトラクロロ、ペンタフルオロ、ペンタクロロ、ヘキサフルオロ、ヘキサクロロ、ヘプタフルオロ及びヘプタクロロプロパン、並びに混合フルオロクロロ置換プロパン、フルオロ、クロロ、ジフルオロ、ジクロロ、トリフルオロ、トリクロロ、テトラフルオロ、テトラクロロ、ペンタフルオロ、ペンタクロロ、ヘキサフルオロ、ヘキサクロロ、ヘプタフルオロ、ヘプタクロロ、オクタフルオロ、オクタクロロ、ノナフルオロ及びノナクロロブタン及びイソブタン、同様の混合フルオロクロロ置換ブタン及びイソブタンから派生するものである。   Suitably substituted, saturated, monomeric, aliphatic moieties R are for example fluoro, chloro, difluoro, dichloro, chlorofluoro, trifluoro, trichloro, difluorochloro and fluorodichloromethane and 1,1- and 1,2 -Difluoro, 1,1- and 1,2-dichloro, 1-chloro-1-fluoro, 1-chloro-2-fluoro, 1-difluoro-2-fluoro, 2-trifluoro, 1-dichloro-2-chloro 2-trichloro, 1-difluoro-2-chloro, 2-difluorochloro, 1-fluoro-2-dichloro and 2-fluorodichloroethane, fluoro, chloro, difluoro, dichloro, trifluoro, trichloro, tetrafluoro, tetrachloro, Pentafluoro, pentachloro, hexafluoro, hexachloro, heptaf Oro and heptachloropropane, and mixed fluorochloro substituted propane, fluoro, chloro, difluoro, dichloro, trifluoro, trichloro, tetrafluoro, tetrachloro, pentafluoro, pentachloro, hexafluoro, hexachloro, heptafluoro, heptachloro, octafluoro, octachloro , Nonafluoro and nonachlorobutane and isobutane, and similar mixed fluorochloro substituted butanes and isobutanes.

好ましくは、非置換、置換、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、直鎖又は分岐の脂肪族炭化水素R’から派生するものであり、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、2〜20、より好ましくは2〜16、さらに好ましくは2〜12、最も好ましくは2〜6個の炭素原子を分子中に有しており、2個の炭素原子の間に、少なくとも1個の窒素原子及び/又は酸素原子を有している。   Preferably, the unsubstituted, substituted, monomeric, aliphatic moiety R (having at least one heteroatom) is derived from a linear or branched aliphatic hydrocarbon R ′, more preferably linear Or the branched aliphatic hydrocarbon R ′ has 2 to 20, more preferably 2 to 16, more preferably 2 to 12, and most preferably 2 to 6 carbon atoms in the molecule; At least one nitrogen atom and / or oxygen atom is present between the carbon atoms.

特に好適な非置換、置換、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、例えば、ジメチルエーテル、メチルエチルエーテル、ジエチルエーテル、2,4−ジオキサペンタン、2,4−オキサヘキサン、3,6−ジオキサオクタン、ジメチルアミン、トリメチルアミン、ジエチルアミン、トリエチルアミン、ジプロピルアミン、及び2−オキサ−4−アザ−ペンタンから生じる。   Particularly preferred unsubstituted, substituted, monomeric, aliphatic moieties R (having at least one heteroatom) are, for example, dimethyl ether, methyl ethyl ether, diethyl ether, 2,4-dioxapentane, 2,4- Occurs from oxahexane, 3,6-dioxaoctane, dimethylamine, trimethylamine, diethylamine, triethylamine, dipropylamine, and 2-oxa-4-aza-pentane.

好ましくは、置換、飽和、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、直鎖又は分岐の脂肪族炭化水素R’から派生し、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、2〜20、より好ましくは2〜16、さらに好ましくは2〜12、最も好ましくは2〜6個の炭素原子を分子中に有しており、2個の炭素原子の間に、少なくとも1個の窒素原子及び/又は酸素原子を有し、少なくとも1個のフッ素原子及び/又は塩素原子を有している。   Preferably, the substituted, saturated, monomeric, aliphatic moiety R (having at least one heteroatom) is derived from a linear or branched aliphatic hydrocarbon R ′, more preferably a linear or branched fatty acid The group hydrocarbon R ′ has 2 to 20, more preferably 2 to 16, more preferably 2 to 12, and most preferably 2 to 6 carbon atoms in the molecule. In between, it has at least one nitrogen atom and / or oxygen atom and at least one fluorine atom and / or chlorine atom.

例えば、置換、飽和、モノマー、脂肪族の部分R(少なくとも1個のヘテロ原子を有する)は、ジメチルエーテル、メチルエチルエーテル、ジエチルエーテル、2,4−ジオキサペンタン、2,4−オキサヘキサン、3,6−ジオキサオクタン、ジメチルアミン、トリメチルアミン、ジエチルアミン、トリエチルアミン、ジプロピルアミン、及び2−オキサ−4−アザ−ペンタンから派生することが好適である。なお、これらは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基で置換されてもよい。   For example, substituted, saturated, monomeric, aliphatic moieties R (having at least one heteroatom) can be dimethyl ether, methyl ethyl ether, diethyl ether, 2,4-dioxapentane, 2,4-oxahexane, 3 It is preferred to derive from 1,6-dioxaoctane, dimethylamine, trimethylamine, diethylamine, triethylamine, dipropylamine, and 2-oxa-4-aza-pentane. These may be substituted with at least one fluorine atom and / or chlorine atom and / or nitrile group.

好ましくは、置換又は非置換、飽和、モノマー、脂肪族の部分Rは、少なくとも一つの二官能性又は三官能性架橋基を有し、直鎖又は分岐の脂肪族炭化水素R’から派生し、より好ましくは直鎖又は分岐の脂肪族炭化水素R’は、2〜20、より好ましくは2〜16、さらに好ましくは2〜12、最も好ましくは2〜6個の炭素原子を分子中に有しており、さらに少なくとも一つの二官能性又は三官能性の架橋基を有する。関連する炭化水素R’は、少なくとも1つの置換基を有していてもよい。   Preferably, the substituted or unsubstituted, saturated, monomeric, aliphatic moiety R has at least one difunctional or trifunctional bridging group and is derived from a linear or branched aliphatic hydrocarbon R ′; More preferably, the linear or branched aliphatic hydrocarbon R ′ has 2 to 20, more preferably 2 to 16, still more preferably 2 to 12, and most preferably 2 to 6 carbon atoms in the molecule. And at least one difunctional or trifunctional crosslinking group. The related hydrocarbon R 'may have at least one substituent.

置換又は非置換、飽和、モノマー、脂肪族の部分Rは、例えば、アセトン、メチルエチルケトン、ジエチルケトン、メチルブチルケトン、エチルブチルケトン、アセチルアセトン、ギ酸メチル、エチル、プロピル、ブチル及びペンチルエステル、酢酸メチル、エチル、プロピル及びブチルエステル、プロピオン酸メチル、エチル及びプロピルエステル、並びに酪酸メチル及びエチルエステルから派生する。なお、これらは、少なくとも1個のフッ素原子及び/又は塩素原子で置換されてもよい。   Substituted or unsubstituted, saturated, monomeric, aliphatic moiety R may be, for example, acetone, methyl ethyl ketone, diethyl ketone, methyl butyl ketone, ethyl butyl ketone, acetyl acetone, methyl formate, ethyl, propyl, butyl and pentyl esters, methyl acetate, Derived from ethyl, propyl and butyl esters, methyl propionate, ethyl and propyl esters, and methyl butyrate and ethyl esters. These may be substituted with at least one fluorine atom and / or chlorine atom.

好ましくは、置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分Rは、直鎖状、分岐鎖状、超分岐鎖状、星型状、デンドリマー状、及び櫛歯状のホモポリマー及びコポリマーから派生する。このホモポリマー及びコポリマーは、エチレン、プロピレン、ブチレン、及びイソブチレンからなる。コポリマーは、ヘキセン及びオクテン等の共重合された高級オレフィンを少量含んでいても良い。上記ホモポリマー及びコポリマーは、少なくとも1個のフッ素原子及び/又は塩素原子により置換されてもよい。   Preferably, the substituted or unsubstituted, saturated, oligomeric or polymeric aliphatic moiety R is linear, branched, hyperbranched, star-shaped, dendrimeric and comb-shaped homozygous. Derived from polymers and copolymers. The homopolymer and copolymer consist of ethylene, propylene, butylene, and isobutylene. The copolymer may contain small amounts of copolymerized higher olefins such as hexene and octene. The homopolymers and copolymers may be substituted with at least one fluorine atom and / or chlorine atom.

置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分R(少なくとも1個のヘテロ原子、特に酸素原子を有する)は、例えば、アルキレンイミン(特にエチレンイミン)、アルキレンオキシド(特にエチレンオキシド、プロピレンオキシド、ブチレンオキシド)、テトラヒドロフラン、並びにビニルエーテル及びエステル(特にビニルメチル、エチル、プロピル及びブチルエーテル及びエステル)の、直鎖状、分岐鎖状、超分岐鎖状、星型状、デンドリマー状、及び櫛歯状のホモポリマー及びコポリマーから派生する。上記ホモポリマー及びコポリマーは、二官能性又は三官能性の上述の架橋基を含んでいても良い。   A substituted or unsubstituted, saturated, oligomeric or polymeric, aliphatic moiety R (having at least one heteroatom, in particular an oxygen atom) is, for example, alkyleneimine (especially ethyleneimine), alkyleneoxide (especially ethyleneoxide). , Propylene oxide, butylene oxide), tetrahydrofuran, and vinyl ethers and esters (especially vinyl methyl, ethyl, propyl and butyl ethers and esters), linear, branched, hyperbranched, star, dendrimer, and Derived from comb-like homopolymers and copolymers. The homopolymers and copolymers may contain the above-mentioned crosslinking groups that are difunctional or trifunctional.

置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分R(少なくとも一つの二官能性又は三官能性、好ましくは二官能性の架橋基を含む)は、直鎖状、分岐鎖状、超分岐鎖状、星型状、デンドリマー状、及び櫛歯状のポリカーボネート、ポリウレタン、及び(メタ)アクリレート(コ)ポリマー、特にポリメチルアクリレート及びポリメチルメタアクリレートPMMAから派生することが好ましい。上記ホモポリマー及びコポリマーは、好ましくは少なくとも1個のフッ素及び/又は塩素原子により置換されてもよい。   Substituted or unsubstituted, saturated, oligomeric or polymeric, aliphatic moieties R (containing at least one difunctional or trifunctional, preferably bifunctional bridging group) are linear, branched , Hyperbranched, star-shaped, dendrimeric and comb-like polycarbonates, polyurethanes and (meth) acrylate (co) polymers, in particular polymethyl acrylate and polymethyl methacrylate PMMA. The homopolymers and copolymers are preferably substituted by at least one fluorine and / or chlorine atom.

置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分Rは、好ましくは、例えば、オレフィン、アセチレン、アクリレート、メタクリレート、ビニルエステル、ビニルエステル、アリルエーテル、アリルエステル等の慣用及び公知のオレフィン系又はアセチレン系不飽和モノマーから派生する。また、Rは、「Roempp Online 2010, Thieme Chemistry, www.roempp.com, “Terpene”, “Sesquiterpene”, “Diterpene”, and “Triterpene”」に記載されているモノテルペン、セスキテルペン、ジテルペン、及びトリテルペンから派生しても良い。さらに、Rは、モノテルペン、セスキテルペン、ジテルペン、及びトリテルペンを少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換したものから派生することが好ましい。   The substituted or unsubstituted, saturated, oligomeric or polymeric, aliphatic moiety R is preferably conventional and known, for example, olefins, acetylenes, acrylates, methacrylates, vinyl esters, vinyl esters, allyl ethers, allyl esters, etc. Derived from olefinic or acetylenically unsaturated monomers. R is a monoterpene, terpene, and terpene terpenes and terpenes described in “Roempp Online 2010, Thieme Chemistry, www.roempp.com,“ Terpene ”,“ Sesquiterpene ”,“ Diterpene ”, and“ Triterpene ””. You may derive from a triterpene. Further, R is preferably derived from monoterpenes, sesquiterpenes, diterpenes, and triterpenes substituted with at least one fluorine and / or chlorine atom and / or nitrile group.

置換又は非置換の、飽和の、オリゴマー又はポリマーの、脂肪族の部分Rは、例えば、エチレン、プロピレン、ブチレン、イソプレン、アセチレン、プロピン、メチル及びエチルアクリレート、メチルメタクリレート、ビニルエーテル及びエステルであり、特にビニルメチル、エチル、プロピル、及びブチルビニルエーテル及びエステル、並びにアリルメチル、エチル、プロピル、及びブチルエーテル及びエステル、並びにオシメン、ミルセン、シトラール、α−及びβ−イオノン及びプソイドイオノンである。上記モノマーは、少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換されてもよい。   Substituted or unsubstituted, saturated, oligomeric or polymeric, aliphatic moieties R are, for example, ethylene, propylene, butylene, isoprene, acetylene, propyne, methyl and ethyl acrylate, methyl methacrylate, vinyl ethers and esters, in particular Vinylmethyl, ethyl, propyl, and butyl vinyl ethers and esters, and allylmethyl, ethyl, propyl, and butyl ethers and esters, and oximenes, myrcene, citral, α- and β-ionones and pseudoionones. The monomer may be substituted with at least one fluorine and / or chlorine atom and / or nitrile group.

好ましくは、置換又は非置換の、飽和の、脂環式の部分Rは、飽和の単環、二環、三環、四環の炭化水素から生じ、これら炭化水素は、少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換されてもよい。   Preferably, the substituted or unsubstituted, saturated, alicyclic moiety R results from saturated monocyclic, bicyclic, tricyclic, tetracyclic hydrocarbons, which hydrocarbons contain at least one fluorine and It may be substituted by a chlorine atom and / or a nitrile group.

特に好適な置換又は非置換の、飽和の、脂環式の部分Rの例は、シクロプロパン、シクロブタン、シクロペンタン、シクロヘキサン、シクロヘプタン、シクロオクタン、シクロデカン、o−、m−及びp−メンタン、メントン、カラン、ピナン、カロン、ボルニルクロリド、イソボルニルクロリド、樟脳、ボルナン、ノルボルナン、8.9.10−トリノルボルナン、スピロ[3.3]ヘプタン、スピロビシクロヘキサン、デカリン、ヒドリンダン、ノルカンファン、ビシクロ[2.2.1]オクタン、アダマンタン、ツイスタン、及びコングレサンである。これらは、少なくとも1個のフッ素及び/又は塩素原子及び/又はニトリル基により置換されてもよい。   Examples of particularly suitable substituted or unsubstituted, saturated, alicyclic moieties R are cyclopropane, cyclobutane, cyclopentane, cyclohexane, cycloheptane, cyclooctane, cyclodecane, o-, m- and p-menthane, Mentone, Karan, Pinan, Caron, Bornyl chloride, Isobornyl chloride, Camphor, Bornan, Norbornane, 8.9.10-Trinorbornane, Spiro [3.3] heptane, Spirobicyclohexane, Decalin, Hydrindan, Norcamphane, Bicyclo [2.2.1] octane, adamantane, twistin, and congressan. These may be substituted by at least one fluorine and / or chlorine atom and / or nitrile group.

好ましくは、置換又は非置換の、飽和の、脂環式の部分R(少なくとも1個のヘテロ原子を含む)は、少なくとも1個のヘテロ原子を含む飽和の単環、二環、四環の炭化水素から生じる。この炭化水素は、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されてもよい。   Preferably, the substituted or unsubstituted, saturated, alicyclic moiety R (containing at least one heteroatom) is a saturated monocyclic, bicyclic, tetracyclic carbon containing at least one heteroatom. Arising from hydrogen. This hydrocarbon may be substituted by at least one fluorine atom and / or chlorine atom and / or nitrile group.

置換又は非置換の、飽和の、脂環式の部分R(少なくとも1個のヘテロ原子、特に少なくとも1つのフッ素原子及び/又は酸素原子を含む)は、例えば、テトラヒドロフラン、1,4−ジオキサン、γ−ブチプラテンクトン、ε−カププラテンクタム、モルホリン、ウレチジン、イソオキサゾリジン、ピロリジン、イミダゾリン、ピラゾリジン、ピペリジン、ピペラジン、及びキヌクリジンから派生することが好ましい。なお、これらは、少なくとも1個のフッ素原子及び/又は特定の塩素原子及び/又はニトリル基により置換されてもよい。   Substituted or unsubstituted, saturated, alicyclic moieties R (containing at least one heteroatom, in particular at least one fluorine atom and / or oxygen atom) are, for example, tetrahydrofuran, 1,4-dioxane, γ -Preferably derived from butyplaten toctone, ε-capplatenctam, morpholine, uretidine, isoxazolidine, pyrrolidine, imidazoline, pyrazolidine, piperidine, piperazine and quinuclidine. These may be substituted with at least one fluorine atom and / or a specific chlorine atom and / or a nitrile group.

好ましくは、置換又は非置換の、不飽和の、脂環式の部分Rは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換することが可能な、不飽和の単環、二環、三環、四環の炭化水素から派生する。   Preferably, the substituted or unsubstituted, unsaturated, alicyclic moiety R is an unsaturated monocyclic ring that can be substituted by at least one fluorine atom and / or chlorine atom and / or nitrile group. Derived from bicyclic, tricyclic and tetracyclic hydrocarbons.

置換又は非置換の、不飽和の、脂環式の部分Rは、例えば、シクロプロペン、シクロブテン、シクロペンテン、シクロペンタジエン、シクロヘキサ−1,3−1,2−ジエン、シクロヘプテン、シクロオクテン、シクロデセン、α−及びγ−テルピネン、テルピネン、α−及びβ−フェランドレン、リモネン、ジペンテン、プレゴン、カルボン、カルベノン、α−及びβ−ピネン、ビサボレンカジネン、β−セリネン、カンフェン、及びスピロ[4.5]デカ−1,6−ジエンから派生し、これらは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されてもよい。   Substituted or unsubstituted, unsaturated, alicyclic moieties R are, for example, cyclopropene, cyclobutene, cyclopentene, cyclopentadiene, cyclohexa-1,3-1,2-diene, cycloheptene, cyclooctene, cyclodecene, α -And [gamma] -terpinene, terpinene, [alpha]-and [beta] -ferrandrene, limonene, dipentene, pulegone, carvone, carbenone, [alpha]-and [beta] -pinene, bisabolene kazinene, [beta] -selinen, camphene, and spiro [4. 5] Derived from deca-1,6-diene, which may be substituted by at least one fluorine atom and / or chlorine atom and / or nitrile group.

置換又は非置換の、飽和の、脂環式の部分R(少なくとも1個のヘテロ原子を含む)は、少なくとも1個のヘテロ原子を含む不飽和、単環、二環、三環、四環の炭化水素からから派生し、この炭化水素は、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されてもよい。   A substituted or unsubstituted, saturated, alicyclic moiety R (containing at least one heteroatom) is an unsaturated, monocyclic, bicyclic, tricyclic, tetracyclic, containing at least one heteroatom. Derived from a hydrocarbon, this hydrocarbon may be substituted by at least one fluorine atom and / or chlorine atom and / or nitrile group.

置換又は非置換の、不飽和脂環式の部分R(少なくとも1個のヘテロ原子、特に少なくとも1個の窒素原子及び/又は酸素原子を含む)は、例えば、2H−ピラン、2H−ピロール、Δ−イミダゾリン、Δ−ピロリン、Δ−ピラゾール、ピロレニン(pyrrolenine)、及びΔ−イソオキサゾリン(isoxazonine)から生じる。なお、炭化水素を、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換してもよい。 A substituted or unsubstituted, unsaturated alicyclic moiety R (containing at least one heteroatom, in particular at least one nitrogen and / or oxygen atom) is, for example, 2H-pyran, 2H-pyrrole, Δ It arises from 2 -imidazoline, Δ 2 -pyrroline, Δ 3 -pyrazole, pyrrolene, and Δ 4 -isoxazoline. The hydrocarbon may be substituted with at least one fluorine atom and / or chlorine atom and / or nitrile group.

好ましくは、置換及び非置換の、モノマーの、芳香族部分Rは、単環式及び多環式の芳香族化合物、特に、ベンゼン、ビフェニル、ターフェニル、ジフェニルエーテル、ジフェニルアミン、ジフェニルケトン、ジフェニルスルフィド、ジフェニルスルホキシド、ジフェニルスルホン、ナフタレン、インダン、フルオラン、フルオレノン、アントラセン、及びフェナントレンから派生する。なお、炭化水素を、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換してもよい。   Preferably, substituted and unsubstituted, monomeric, aromatic moieties R are monocyclic and polycyclic aromatic compounds, in particular benzene, biphenyl, terphenyl, diphenyl ether, diphenylamine, diphenyl ketone, diphenyl sulfide, diphenyl. Derived from sulfoxide, diphenylsulfone, naphthalene, indane, fluoran, fluorenone, anthracene, and phenanthrene. The hydrocarbon may be substituted with at least one fluorine atom and / or chlorine atom and / or nitrile group.

好ましくは、置換及び非置換の、オリゴマー及びポリマーの、芳香族部分Rは、オリゴマー及びポリマーを含有する芳香族基から生じる。オリゴマー及びポリマーは、例えば、ポリエステル、特にポリ(エチレンテレフタレート)PET、及びポリ(ブチレンテレフタレート)PBT、ポリエーテル、特にポリ(2,6−ジメチルフェニレンオキシド)等のポリ(フェニレンオキシド)、並びにスチレンのホモポリマー及びコポリマーである。なお、オリゴマー及びポリマーを、好ましくは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換してもよい。   Preferably, the substituted and unsubstituted, oligomeric and polymeric aromatic moieties R arise from aromatic groups containing oligomers and polymers. Oligomers and polymers include, for example, polyesters, especially poly (ethylene terephthalate) PET, and poly (butylene terephthalate) PBT, polyethers, especially poly (phenylene oxide) such as poly (2,6-dimethylphenylene oxide), and styrene. Homopolymers and copolymers. The oligomer and polymer may be preferably substituted with at least one fluorine atom and / or chlorine atom and / or nitrile group.

好ましくは、置換及び非置換の、モノマーの、芳香族部分R(少なくとも1個のヘテロ原子を含む)は、単環式及び多環式の複素環式芳香族化合物から派生する。なお、単環式及び多環式の複素環式芳香族化合物は、特に酸素、硫黄及び/又は窒素を含む複素環式芳香族化合物であり、複素環式芳香族化合物は、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されたものでも良い。   Preferably, the substituted and unsubstituted, monomeric, aromatic moieties R (including at least one heteroatom) are derived from monocyclic and polycyclic heteroaromatic compounds. The monocyclic and polycyclic heteroaromatic compounds are heteroaromatic compounds containing particularly oxygen, sulfur and / or nitrogen, and the heteroaromatic compound contains at least one fluorine. It may be substituted with an atom and / or a chlorine atom and / or a nitrile group.

好ましくは置換及び非置換の、モノマーの、芳香族部分Rは、例えば、フラン、チオフェン、ピロール、イミダゾール、ピラゾール、イソチアゾール、イソオキサゾール、トリアゾール、ピリジン、ピラジン、ピリミジン、ピリダジン、ベンゾチオフェン、チアントレン、イソゼンゾフラン、フェノキサチイン、インドリジン、イソインドール、インドール、プリン、イソキノリン、キノリン、フタラジン、1,8−ナフチリジン、キノキサリン、キナゾリン、シンノリン、プテリジン、カルバゾール、アクリジン、及びフェナントリジンから派生する。これらは、少なくとも1個のフッ素原子及び/又は塩素原子及び/又はニトリル基により置換されたものでも良い。   Preferably substituted and unsubstituted monomeric aromatic moieties R are, for example, furan, thiophene, pyrrole, imidazole, pyrazole, isothiazole, isoxazole, triazole, pyridine, pyrazine, pyrimidine, pyridazine, benzothiophene, thianthrene, Derived from isenzofuran, phenoxathiin, indolizine, isoindole, indole, purine, isoquinoline, quinoline, phthalazine, 1,8-naphthyridine, quinoxaline, quinazoline, cinnoline, pteridine, carbazole, acridine, and phenanthridine. These may be substituted by at least one fluorine atom and / or chlorine atom and / or nitrile group.

上述のように、部分Rは、一般式IのRを構成するように相互に任意に組み合わせることができる。従って、例えば、ベンゼンから派生する部分Rは、N−置換ジアゼニウムジオキシド(A)がより水溶性を持つように、エチレンオキシドのコポリマーからエーテル基を介して派生する部分Rと組み合わせることができる。   As mentioned above, the moieties R can be arbitrarily combined with each other to form R of general formula I. Thus, for example, a moiety R derived from benzene can be combined with a moiety R derived from an ethylene oxide copolymer via an ether group so that the N-substituted diazenium dioxide (A) is more water soluble. .

より好ましくは、部分Rは、モノマーの、飽和の、脂肪族及び脂環式及びモノマー芳香族化合物、さらに好ましくは、非置換の、モノマーの、飽和の、脂肪族及び脂環式及び無置換のモノマー芳香族化合物、特に、メタン、エタン、プロパン、ブタン、シクロペンタン、シクロヘキサン、及びベンゼンから派生するものである。   More preferably, the moiety R is monomeric, saturated, aliphatic and cycloaliphatic and monomeric aromatic, more preferably unsubstituted, monomeric, saturated, aliphatic and cycloaliphatic and unsubstituted. Derived from monomeric aromatic compounds, particularly methane, ethane, propane, butane, cyclopentane, cyclohexane, and benzene.

最も好ましくは、N−置換ジアゼニウムジオキシド炭素(A)が、N−メチル−、N−エチル−、N−プロピル−、N−ブチル、N−シクロヘキシル−、及びN−フェニル−ジアゼニウムジオキサイドからなる群から選択される。   Most preferably, the N-substituted diazenium dioxide carbon (A) is N-methyl-, N-ethyl-, N-propyl-, N-butyl, N-cyclohexyl-, and N-phenyl-diazenium. Selected from the group consisting of dioxides.

好ましくは、N−置換N’−ヒドロキシジアゼニウムオキシド塩(A)は、一般式IIで表される。   Preferably, the N-substituted N'-hydroxydiazenium oxide salt (A) is represented by the general formula II.

Figure 2013540850
Figure 2013540850

なお、式中、Rは、上述した部分であり、n及びmは、ともに1〜1000であり、好ましくは1〜500であり、より好ましくは1〜100であり、さらにより好ましくは、1〜50であり、最も好ましくは、1〜10である。   In the formula, R is the above-mentioned moiety, and n and m are both 1 to 1000, preferably 1 to 500, more preferably 1 to 100, and still more preferably 1 to 500. 50, and most preferably 1-10.

残基Rは、オリゴマー又はポリマー部分であり、数n及びmは、必ずしも整数である必要はなく、端数でも良い。これは、オリゴマー及びポリマー部分の統計的性質によるものである。残基Rは、モノマー部分である場合には、n及びmは通常は整数である。   Residue R is an oligomer or polymer moiety, and the numbers n and m are not necessarily integers and may be fractional. This is due to the statistical nature of the oligomer and polymer parts. When residue R is a monomer moiety, n and m are usually integers.

Mは、有機及び無機の、モノマー、オリゴマー及びポリマーのカチオンからなる群から選択されるカチオンである。   M is a cation selected from the group consisting of organic, inorganic, monomeric, oligomeric and polymeric cations.

好適なモノマー有機カチオンは、例えば、第一級、第二級、第三級及び第四級アンモニウムカチオン、第一級、第二級、第三級及び第四級ホスホニウムカチオン、並びに第一級及び第二級スルホニウムカチオン、特に、テトラメチルアンモニウムカチオンである。   Suitable monomeric organic cations are, for example, primary, secondary, tertiary and quaternary ammonium cations, primary, secondary, tertiary and quaternary phosphonium cations, and primary and Secondary sulfonium cations, especially tetramethylammonium cations.

好適なオリゴマー及びポリマーカチオンは、例えば、第一級、第二級、第三級及び第四級アンモニウムカチオン、第一級、第二級、第三級及び第四級ホスホニウムカチオン、並びに第一級及び第二級スルホニウムカチオン、特に、カチオン性ポリエチレンイミンである。   Suitable oligomeric and polymeric cations are, for example, primary, secondary, tertiary and quaternary ammonium cations, primary, secondary, tertiary and quaternary phosphonium cations, and primary And secondary sulfonium cations, in particular cationic polyethylenimine.

好適な無機カチオンは、例えば、アンモニア、リチウム、ナトリウム、カリウム、ルビジウム、セシウム、マグネシウム、カルシウム、ストロンチウム、バリウム、アルミニウム、ガリウム、インジウム、ゲルマニウム、スズ、鉛、アンチモン、ビスマス、スカンジウム、イットリウム、ランタン、希土類金属、チタン、ジルコニウム、ハフニウム、バナジウム、ニオブ、タンタル、クロム、モリブデン、タングステン、マンガン、レニウム、鉄、ルテニウム、オスミウム、コバルト、ロジウム、イリジウム、ニッケル、パラジウム、白金、銅、銀、金、亜鉛、及びカドミウムのカチオン、好ましくは、アンモニア、リチウム、ナトリウム、及びカリウムのカチオンである。   Suitable inorganic cations are, for example, ammonia, lithium, sodium, potassium, rubidium, cesium, magnesium, calcium, strontium, barium, aluminum, gallium, indium, germanium, tin, lead, antimony, bismuth, scandium, yttrium, lanthanum, Rare earth metal, titanium, zirconium, hafnium, vanadium, niobium, tantalum, chromium, molybdenum, tungsten, manganese, rhenium, iron, ruthenium, osmium, cobalt, rhodium, iridium, nickel, palladium, platinum, copper, silver, gold, zinc And cadmium cations, preferably ammonia, lithium, sodium, and potassium cations.

最も特に好ましく、N’−ヒドロキシ−ジアゼニウムジオキシド塩(A)は、N−メチル−、N−エチル−、N−プロピル−、N−ブチル、N−シクロヘキシル−、及び−Nフェニル−N’−ヒドロキシ−ジアゼニウムジオキシドアンモニウム、リチウム、ナトリウム、及びカリウムの塩から成る群から選択される。   Most particularly preferred, the N′-hydroxy-diazenium dioxide salt (A) is N-methyl-, N-ethyl-, N-propyl-, N-butyl, N-cyclohexyl-, and —Nphenyl-N. '-Hydroxy-diazenium dioxide selected from the group consisting of ammonium, lithium, sodium, and potassium salts.

本発明の組成物において、N−置換ジアゼニウムジオキシド塩(A)の濃度及び/又はN’−ヒドロキシジアゼニウムオキシド塩(A)の濃度は、大きく変更することができ、したがって、当該濃度は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。好ましくは、本発明の組成物は、その全質量を基準として、0.01〜1000ppm、より好ましくは0.05〜750ppm、さらに好ましくは0.075〜500ppm、最も好ましくは0.1〜500ppmの濃度で化合物(A)を含む。   In the composition of the present invention, the concentration of the N-substituted diazenium dioxide salt (A) and / or the concentration of the N′-hydroxydiazenium oxide salt (A) can be greatly changed. The concentration can be advantageously adjusted for the particular composition, method, and method of use of the present invention. Preferably, the composition of the present invention is 0.01 to 1000 ppm, more preferably 0.05 to 750 ppm, still more preferably 0.075 to 500 ppm, and most preferably 0.1 to 500 ppm, based on its total mass. Contain compound (A) in concentration.

本発明の組成物における第二の必須成分は、少なくとも一種の研磨粒子(B)である。   The second essential component in the composition of the present invention is at least one kind of abrasive particles (B).

基本的に、研磨(特に、化学的・機械的な研磨又は平面化(CMP))の分野で従来使用される任意の天然又は合成研磨粒子材料を、構成要素(B)として用いることができる。研磨粒子(B)は、好ましくは、アルミナ、シリカ、窒化ケイ素、炭化ケイ素、チタニア、ジルコニア、セリア、酸化亜鉛、及びこれらの混合物からなる群から選択される。   Basically, any natural or synthetic abrasive particulate material conventionally used in the field of polishing (especially chemical-mechanical polishing or planarization (CMP)) can be used as component (B). The abrasive particles (B) are preferably selected from the group consisting of alumina, silica, silicon nitride, silicon carbide, titania, zirconia, ceria, zinc oxide, and mixtures thereof.

研磨粒子(B)の平均粒径は大きく変更することができ、したがって、当該平均粒径は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。   The average particle size of the abrasive particles (B) can vary greatly, and thus the average particle size can be advantageously adjusted for the particular composition, method, and method of use of the present invention.

動的レーザ光散乱により測定される平均粒径は、好ましく、1〜2000nm、好ましくは1〜1000nm、より好ましくは1〜750nm、最も好ましくは1〜500nmである。   The average particle size measured by dynamic laser light scattering is preferably 1 to 2000 nm, preferably 1 to 1000 nm, more preferably 1 to 750 nm, and most preferably 1 to 500 nm.

最も好ましくは、研磨粒子(B)がセリアを含有するか、セリアから成る。   Most preferably, the abrasive particles (B) contain ceria or consist of ceria.

セリアを含有する研磨粒子(B)は、他の希土類金属酸化物を少量含有していても良い。   The abrasive particles (B) containing ceria may contain a small amount of other rare earth metal oxides.

セリアを含有する研磨粒子(B)は、好ましくは、少なくとも一種の他の研磨粒子を含む又は他の研磨粒子から成る複合粒子(B)である。なお、この研磨粒子は、特にアルミナ、シリカチタニア、ジルコニア、酸化亜鉛、及びこれらの混合物とは異なる材料である。   The abrasive particles (B) containing ceria are preferably composite particles (B) comprising at least one other abrasive particle or consisting of other abrasive particles. The abrasive particles are a material different from alumina, silica titania, zirconia, zinc oxide, and a mixture thereof.

上述の複合粒子(B)は、例えば、WO2005/035688A1、US6110396、US6238469B1、US6645265B1、K.S.Choiらによる「Mat. Res. Soc. Symp. Proc. Vol. 671, 2001 Materials Research Society, M5.8.1 to M5.8.10,」、S.−H.Leeらによる「J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 to 2749」、A. Jindalらによる「Journal of the Electrochemical Society, 150 (5) G314−G318 (2003)」、Z. Luによる「Journal of Materials Research, Vol. 18, No. 10, OctoBer 2003, Materials Research Society」、又はS. Hedgeらによる「Electrochemical and Solid−State Letters, 7 (12) G316−G318 (2004)」により知られている。   The composite particles (B) described above are disclosed in, for example, WO2005 / 035688A1, US61010396, US6238469B1, US6645265B1, K.A. S. Choi et al., “Mat. Res. Soc. Promp. Vol. 671, 2001 Materials Research Society, M5.8.1 to M5.8.10,” S. et al. -H. Lee et al., “J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 to 2749”, A.M. “Journal of the Electrochemical Society, 150 (5) G314-G318 (2003)” by Jindal et al. “Journal of Materials Research, Vol. 18, No. 10, OctoBer 2003, Materials Research Society” by Lu, or S.L. Known by Hedge et al., “Electrochemical and Solid-State Letters, 7 (12) G316-G318 (2004)”.

最も好ましくは、複合粒子(B)が、アルミナ、シリカチタニア、ジルコニア、酸化亜鉛、及びこれらの混合物から成る群から選択されるコアを含むラズベリー形の被覆粒子であり、コアの径は20〜100nmである。コアは、10nm未満の粒子径を有するセリア粒子により被覆される。   Most preferably, the composite particles (B) are raspberry-shaped coated particles comprising a core selected from the group consisting of alumina, silica titania, zirconia, zinc oxide, and mixtures thereof, and the core diameter is 20-100 nm. It is. The core is coated with ceria particles having a particle size of less than 10 nm.

本発明の組成物における研磨粒子(B)の量は大きく変更することができ、したがって、当該平均粒径は、本発明の組成物、方法、及び使用方法の特定の要求に合わせて、有利に調整することができる。本発明の組成物は、当該組成物の全質量を基準として、好ましく、0.005〜10質量%、より好ましくは0.01〜8質量%、最も好ましくは0.01〜6質量%の研磨粒子(B)を含む。   The amount of abrasive particles (B) in the composition of the present invention can vary greatly, and thus the average particle size is advantageously tailored to the specific requirements of the composition, method and method of use of the present invention. Can be adjusted. The composition of the present invention is preferably 0.005 to 10% by mass, more preferably 0.01 to 8% by mass, and most preferably 0.01 to 6% by mass based on the total mass of the composition. Including particles (B).

本発明の組成物は、構成要素又は成分(A)及び(B)とは異なる少なくとも一種の官能性成分(C)を含んでいても良い。   The composition of the present invention may contain at least one functional component (C) different from the constituent elements or components (A) and (B).

官能性成分(C)は、好ましくは、通常、セリア系CMPスラリーに使用される化合物の群から選択される。このような化合物(C)の例は、冒頭に記載されているように、例えば、Y.N.Prasadらによる「Electrochemical and Solid−State Letters, 9 (12) G337−G339 (2006)」、Hyun−Goo Kangらによる「Journal of Material Research, volume 22, No. 3, 2007の 777〜787ページ」、S.Kimらによる「Journal of Colloid and Interface Science, 319 (2008)の48〜52ページ」、S.V.Babuらによる「Electrochemical and Solid−State Letters, 7 (12) G327−G330 (2004)」、Jae−Dong Leeらによる「Journal of the Electrochemical Society, 149 (8) G477−G481, 2002」、US5738800、US6042741、US6132637、US6218305B、US5759917、US6689692B1、US6984588B2、US6299659B1、US6626968B2、US6436835B1、US6491843B1、US6544892B2、US6627107B2、US6616514B1、US7071105B2、US2002/0034875A1、US2006/0144824A1、US2006/0207188A1、US2006/0216935A1、US2007/0077865A1、US2007/0175104A1、US2007/0191244A1、US2007/0218811A1、及びJP2005−336400Aに開示されている。   The functional component (C) is preferably selected from the group of compounds usually used in ceria-based CMP slurries. Examples of such compounds (C) are described, for example, in Y. N. “Electrochemical and Solid-State Letters, 9 (12) G337-G339 (2006)” by Prasad et al., “Journal of Material Research, vol. 7, No. 7, 7-7, Hyun-Goo Kang et al. S. Kim et al., “Journal of Colloid and Interface Science, 319 (2008), pp. 48-52”; V. "Electrochemical and Solid-State Letters, 7 (12) G327-G330 (2004)" by Babu et al., "Journal of the Electrochemical Society, 1 482 G1, 421 G4, 1994, US4 , US6132637, US6218305B, US5759917, US6689692B1, US6984588B2, US6299659B1, US6626968B2, US6436835B1, US6491843B1, US6544292B2, US66627107B2, US6616514B3, US707711052 875A1, US2006 / 0144824A1, US2006 / 0207188A1, US2006 / 0216935A1, US2007 / 0077865A1, US2007 / 0175104A1, US2007 / 0191244A1, US2007 / 0218811A1, and is disclosed in JP2005-336400A.

また、官能性成分(C)は、粒子(B)とは異なる有機、無機、及び有機−無機混合の研磨粒子、下限臨界溶液温度LCST及び上限臨界溶液温度UCSTを有する材料、酸化剤、不動態化剤、電荷反転剤、有機ポリオール、オリゴマー及びポリマー、錯化剤又はキレート剤、摩擦調整剤、安定化剤、レオロジー調整剤、界面活性剤、金属カチオン、並びに有機溶媒から成る群から選択される。また、上記有機ポリオールは、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する。更に、オリゴマー及びポリマーは、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のモノマーから形成される。   In addition, the functional component (C) includes organic, inorganic, and organic-inorganic mixed abrasive particles different from the particles (B), a material having a lower critical solution temperature LCST and an upper critical solution temperature UCST, an oxidizing agent, and a passive material. Selected from the group consisting of agents, charge reversals, organic polyols, oligomers and polymers, complexing or chelating agents, friction modifiers, stabilizers, rheology modifiers, surfactants, metal cations, and organic solvents . The organic polyol has at least three hydroxy groups that do not dissociate in an aqueous medium. Furthermore, the oligomers and polymers are formed from at least one monomer having at least three hydroxy groups that do not dissociate in an aqueous medium.

好適な有機研磨粒子(C)及びその有効量は、例えば、US2008/0254628A1の4ページにおける段落[0054]に、又はWO2005/014753A1に記載されている。当該文献においては、アセトグアナミン、ベンゾグアナミン及びジシアンジアミド等のメラミン及びメラミン誘導体から成る固体粒子が開示されている。   Suitable organic abrasive particles (C) and their effective amounts are described, for example, in paragraph [0054] on page 4 of US2008 / 0254628A1 or in WO2005 / 014753A1. This document discloses solid particles made of melamine and melamine derivatives such as acetoguanamine, benzoguanamine and dicyandiamide.

好適な無機研磨粒子(C)及びその有効量は、例えばWO2005/014753A1の12ページにおける1〜8行目、又はUS6068787Bの第6欄41行目〜第7欄65行目において開示されている。   Suitable inorganic abrasive particles (C) and their effective amounts are disclosed, for example, in WO2005 / 014753A1, page 12 on line 12 or in US60668787B, column 6, line 41 to column 7, line 65.

好適な機−無機混合の研磨粒子(C)及びその有効量は、例えば、US2008/0254628A1の4ページの段落[0054]、又はUS2009/0013609A1の3ページの段落[0047]〜6ページの段落[0087]に開示されている。   Suitable machine-inorganic mixed abrasive particles (C) and effective amounts thereof are described, for example, in US 2008 / 0254628A1, page 4, paragraph [0054], or US 2009 / 0013609A1, page 3, paragraph [0047] to page 6, paragraph [0047]. [0087].

好適な酸化剤(C)及びその有効量は、例えば、EP1036836A1の8ページの段落[0074]〜[0075]、又はUS6068787Bの第4欄40行目〜第7欄45行目、又はUS7300601B2の第4欄18行目〜34行目において開示されている。好ましく、有機及び無機過酸化物、より好ましくは無機過酸化物が使用される。特に、過酸化水素が使用されている。   Suitable oxidizing agents (C) and their effective amounts are, for example, EP 1036836 A1, page 8, paragraphs [0074] to [0075], or US60668787B, column 4, line 40 to column 7, line 45, or US7300601B2 This is disclosed in the fourth column, lines 18 to 34. Preferably organic and inorganic peroxides are used, more preferably inorganic peroxides. In particular, hydrogen peroxide is used.

好適な不動態化剤(C)及びその有効量は、例えば、US7300601B2の第3欄59行〜第4欄9行目、又はUS2008/0254628A1の段落[0058]の4〜5ページに亘って記載されている。   Suitable passivating agents (C) and their effective amounts are described, for example, over pages 4 to 5 of US7300601B2, column 3, line 59 to column 4, line 9 or US2008 / 0254628A1, paragraph [0058]. Has been.

摩擦調整剤(US2008/0254628A1の5ページの段落[0061]参照)、又はエッチング剤若しくはエッチャント剤(US2008/0254628A1の4ページの段落[0054])として指定されることのある錯化剤又はキレート剤(C)及びその有効量は、例えば、US7300601B2の第4欄の35行目〜48行目に記載されている。アミノ酸、特にグリシン、さらには、ジシアンジアミド及びトリアジンであり、少なくとも1つ、好ましくは2つ、さらに好ましくは3つの第1級アミン基を含むものが、最も好ましく使用される。この3つの第1級アミン基を含むものは、例えば、メラミン及び水溶性グアナミン、特にメラミン、ホルモグアナミン、アセトグアナミン、及び2,4−ジアミノ−6−エチル−1,3,5−トリアジンである。   Complexing agents or chelating agents that may be designated as friction modifiers (see paragraph [0061] on page 5 of US2008 / 0254628A1) or etchants or etchants (paragraph [0054] on page 4 of US2008 / 0254628A1) (C) and its effective amount are described in the 35th line-the 48th line of the 4th column of US7300601B2, for example. Most preferably used are amino acids, especially glycine, furthermore dicyandiamide and triazine, which contain at least one, preferably two, more preferably three primary amine groups. Those containing these three primary amine groups are, for example, melamine and water-soluble guanamines, in particular melamine, formoguanamine, acetoguanamine, and 2,4-diamino-6-ethyl-1,3,5-triazine. .

好適な安定化剤(C)及びその有効な量は、例えば、US6068787Bの第8欄の4行目〜56行目に開示されている。   Suitable stabilizers (C) and their effective amounts are disclosed, for example, in US Pat. No. 6,068,787 B, column 8, lines 4 to 56.

好適なレオロジー調整剤(C)及びその有効な量は、例えば、US2008/0254628A1の5ページの段落[0065]〜6ページの段落[0069]に開示されている。   Suitable rheology modifiers (C) and their effective amounts are disclosed, for example, in paragraph [0065] on page 5 to paragraph [0069] on page 6 of US2008 / 0254628A1.

好適な界面活性剤(C)及びその有効な量は、例えば、WO2005/014753A1の8ページの23行目〜10ページの17行目、又はUS7300601B2の第5欄の4行目〜第6欄の8行目に開示されている。   Suitable surfactants (C) and effective amounts thereof are described in, for example, WO2005 / 014753A1, page 8, line 23 to page 10, line 17 or US7300601B2, line 5 to line 6 to column 6. It is disclosed in the eighth line.

好適な多価金属イオン(C)及びその有効な量は、例えば、EP1036836A1の8ページの段落[0076]〜9ページの段落[0078]に開示されている。   Suitable multivalent metal ions (C) and their effective amounts are disclosed, for example, in paragraph [0076] on page 8 to paragraph [0078] on page 9 of EP1036836A1.

好適な有機溶媒(C)及びその有効な量は、例えば、US7361603B2の第7欄の行32行目〜48行目、又はUS2008/0254628A1の5ページの段落[0059]に開示されている。   Suitable organic solvents (C) and their effective amounts are disclosed, for example, in US Pat. No. 7,361,603 B2, column 7, lines 32 to 48, or paragraph [0059] on page 5 of US2008 / 0254628A1.

下限臨界溶液温度LCST又は上限臨界溶液温度UCSTを示す好適な材料(C)は、例えば、H. Mori、 H. Iwaya、A. Nagai 、及びT. Endoによる論文「Controlled synthesis of thermoresponsive polymers derived from L−proline via RAFT polymerization, in Chemical Communication, 2005, 4872−4874」、若しくはD. Schmaljohannによる論文「Thermo− and pH−responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655−1670」、US2002/0198328A1、US2004/0209095A1、US2004/0217009A1、US2006/0141254A1、US2007/0029198A1、US2007/0289875A1、US2008/0249210A1、US2008/0050435A1、若しくはUS2009/0013609A1、US5057560B、US578882B、及びUS6682642B2、WO01/60926A1、WO2004/029160A1、WO2004/0521946A1、WO2006/093242A2、若しくはWO2007/012763A1、EP0583814A1、EP1197587B1、及びEP1942179A1、若しくはDE2610705に記載されている。また、上記材料は、商標名「Pluronic」「Tetronic」、及び「Basensol」として、BASF社及びBASF SEによって販売されている。この販売については、BASF社の会社パンフレット「PluronicTM & TetronicTM Block Copolymer Surfactants, 1996」、又はUS2006/0213780A1に記載されている。 Suitable materials (C) exhibiting the lower critical solution temperature LCST or the upper critical solution temperature UCST are, for example, H. Mori, H.C. Iwaya, A.I. Nagai, and T.A. Endo's paper “Controlled synthesis of thermoresponsible polymers, derived from L-proline via RAFT polymerization, in Chemical Communication, 2005, 48, 48”. Schmaljohann article by "Thermo- and pH-responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655-1670", US2002 / 0198328A1, US2004 / 0209095A1, US2004 / 0217009A1, US2006 / 0141254A1, US2007 / 0029198A1 US2007 / 0289875A1, US2008 / 0249210A1, US2008 / 0050435A1, or US2009 / 0013609A1, US5057560B, US578882B, and US6682642B2, WO01 / 60926A1, WO20 4 / 029160A1, WO2004 / 0521946A1, WO2006 / 093242A2, or WO2007 / 012763A1, EP0583814A1, EP1197587B1, and EP1942179A1, or are described in DE2610705. In addition, the above materials are sold by BASF and BASF SE under the trade names “Pluronic”, “Tetronic”, and “Basensol”. This sale is described in the BASF company brochure “Pluronic & Tetronic Block Polymer Surfactants, 1996” or US 2006 / 0213780A1.

第1の有利なかつ好ましい実施形態においては、本発明の組成物は、少なくとも1つの電荷反転剤(C)を含む。   In a first advantageous and preferred embodiment, the composition according to the invention comprises at least one charge reversal agent (C).

原則として、従来においてCMPの分野で使用される任意の公知の電荷反転剤(C)を用いることができる。電荷反転剤(C)は、好ましくは、モノマーの、オリゴマーの及びポリマーの化合物から成る群から選択される。また、この化合物は、カルボン酸塩、スルフィン酸、硫酸塩、ホスホン酸塩、及びリン酸基からなる群から選択される少なくとも1つのアニオン性基を含む。特に好適な電荷反転剤(C)は、例えば、US72065055B2、第4欄の24行目〜は45行目、又はJP2005−336400A(請求項1〜6参照)に記載されている。   In principle, any known charge reversal agent (C) conventionally used in the field of CMP can be used. The charge reversal agent (C) is preferably selected from the group consisting of monomeric, oligomeric and polymeric compounds. The compound also includes at least one anionic group selected from the group consisting of carboxylate, sulfinic acid, sulfate, phosphonate, and phosphate groups. Particularly suitable charge reversal agents (C) are described, for example, in US Pat. No. 7,206,055 B2, column 4, line 24 to line 45, or JP 2005-336400A (see claims 1-6).

本発明の組成物における電荷反転剤(C)の濃度は大きく変更することができ、したがって、当該濃度は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。好ましくは、電荷反転剤(C)は、該電荷反転剤(C)に対するセリアの質量比が、10〜2000、及び20〜1000となるような量で使用される。   The concentration of the charge reversal agent (C) in the composition of the present invention can vary greatly and therefore the concentration is advantageously adjusted for the particular composition, method and method of use of the present invention. Can do. Preferably, the charge reversing agent (C) is used in an amount such that the mass ratio of ceria to the charge reversing agent (C) is 10 to 2000 and 20 to 1000.

第2の有利なかつ好ましい実施形態においては、本発明の組成物は、少なくとも一種の有機ポリオール(C)、より好ましくは、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも2種の有機ポリオール(C)、及び/又は水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のモノマーから構成されるオリゴマー及びポリマーを含む。   In a second advantageous and preferred embodiment, the composition according to the invention comprises at least one organic polyol (C), more preferably at least two organic polyols having at least three hydroxy groups which do not dissociate in an aqueous medium. (C) and / or oligomers and polymers composed of at least one monomer having at least three hydroxy groups that do not dissociate in an aqueous medium.

より好ましくは、有機ポリオール又はポリオール(C)は、単糖類、二糖類、オリゴ糖類、多糖類、デオキシ糖、アミノ糖、アルドン酸、ケトアルドン酸、ウロン酸、アルダル酸、糖アルコール、及びシクリトールから成る群から選択される。さらに好ましくは、有機ポリオール又はポリオール(C)は、単糖類、及びシクリトールから成る群から選択され、特に好ましくは、ガラクトース、並びにmyo−、 scyllo−、 muco−、 chiro−、 neo−、 allo−、 epi− 、及びcis−イノシトールから成る群から選択される。最も好ましくは、ガラクトース及びmyo−イノシトールは、有機ポリオール(C)として使用される。   More preferably, the organic polyol or polyol (C) consists of monosaccharides, disaccharides, oligosaccharides, polysaccharides, deoxy sugars, amino sugars, aldonic acids, ketoaldonic acids, uronic acids, aldaric acids, sugar alcohols, and cyclitols. Selected from the group. More preferably, the organic polyol or polyol (C) is selected from the group consisting of monosaccharides and cyclitols, particularly preferably galactose and myo-, scyllo-, muco-, chiro-, neo-, allo-, selected from the group consisting of epi- and cis-inositol. Most preferably, galactose and myo-inositol are used as the organic polyol (C).

本発明の組成物における有機ポリオール(C)の濃度は、大きく変更することができ、したがって、当該濃度は、本発明の特定の組成物、方法、及び使用方法に合わせて、有利に調整することができる。好ましくは、本発明の組成物は、その全質量を基準として、0.001〜5質量%、より好ましくは0.005〜4質量%、さらに好ましくは0.01〜2質量%、及び最も好ましくは0.01〜1質量%の量で有機ポリオール(C)を含有する。   The concentration of the organic polyol (C) in the composition of the present invention can vary greatly, and thus the concentration can be advantageously adjusted for the particular composition, method and method of use of the present invention. Can do. Preferably, the composition of the present invention is 0.001-5% by weight, more preferably 0.005-4% by weight, more preferably 0.01-2% by weight, and most preferably, based on its total weight. Contains the organic polyol (C) in an amount of 0.01 to 1% by weight.

第3の最も有利なかつ最も好ましい実施形態においては、本発明の組成物は、上述の電荷反転剤(C)及び有機ポリオール(C)を含有する。   In a third most advantageous and most preferred embodiment, the composition of the present invention contains the charge reversal agent (C) and organic polyol (C) described above.

存在する場合には、官能性成分(C)の含有量を様々に変更することができる。好ましくは、(C)の合計量が、対応する組成物の全質量に対して10wt%以下(wt%は質量%を意味する)、より好ましくは2質量%以下、最も好ましくは、0.5質量%以下、特に好ましくは0.1質量%以下、例えば0.01質量%以下である。   When present, the content of the functional component (C) can be variously changed. Preferably, the total amount of (C) is 10 wt% or less (wt% means wt%), more preferably 2 wt% or less, most preferably 0.5 wt%, based on the total weight of the corresponding composition. It is not more than mass%, particularly preferably not more than 0.1 mass%, for example not more than 0.01 mass%.

本発明の組成物は、任意に、構成要素(A)及び(B)とは物質的に異なる少なくとも一種のpH調節剤、又は緩衝剤(D)を含有していても良い。   The composition of the present invention may optionally contain at least one pH adjusting agent or buffer (D) that is physically different from the components (A) and (B).

好適なpH調節剤又は緩衝剤(D)及びその有効な量は、例えば、EP1036836A1の8ページの段落[0080]、[0085]、及び[0086]、WO2005/014753A1の12ページの19行目〜24行目、US2008/0254628A1の6ページの段落[0073]又はUS7300601B2の第5欄の33行目〜63行目に記載されている。pH調整剤又は緩衝剤(D)は、例えば、水酸化カリウム、水酸化アンモニウム、水酸化テトラメチルアンモニウム(TMAH)、硝酸、及び硫酸である。   Suitable pH adjusting agents or buffers (D) and their effective amounts are described, for example, in EP 1036836A1, page 8, paragraphs [0080], [0085], and [0086], WO 2005 / 014753A1, page 12, line 19 ~ 24th line, paragraph [0073] on page 6 of US2008 / 0254628A1 or 5th column of US7300601B2 line 33 to line 63. The pH adjusting agent or buffer (D) is, for example, potassium hydroxide, ammonium hydroxide, tetramethylammonium hydroxide (TMAH), nitric acid, and sulfuric acid.

存在する場合には、pH調節剤又は緩衝剤(D)の含有量を様々に変更することができる。好ましくは、(D)の合計量が、対応する組成物の全質量に対して20質量%以下、より好ましくは7質量%以下、最も好ましくは2質量%以下、特に0.5質量%以下、例えば0.1質量%以下である。好ましくは、(D)の合計量は、対応する組成物の全質量に対して少なくとも0.001質量%、より好ましくは少なくとも0.01質量%、最も好ましくは少なくとも0.05質量%、特に少なくとも0.1質量%、例えば少なくとも0.5質量である。   When present, the content of the pH adjusting agent or buffer (D) can be varied. Preferably, the total amount of (D) is 20% by weight or less, more preferably 7% by weight or less, most preferably 2% by weight or less, in particular 0.5% by weight or less, based on the total weight of the corresponding composition, For example, it is 0.1 mass% or less. Preferably, the total amount of (D) is at least 0.001% by weight, more preferably at least 0.01% by weight, most preferably at least 0.05% by weight, in particular at least based on the total weight of the corresponding composition. 0.1% by weight, for example at least 0.5% by weight.

好ましくは、本発明の組成物のpHは、好ましくは上記pH調整剤(D)を用いて、3〜10、より好ましくは4〜8、さらにより好ましくは4〜7、最も好ましくは5〜7に設定される。   Preferably, the pH of the composition of the present invention is preferably 3-10, more preferably 4-8, even more preferably 4-7, most preferably 5-7, using the pH adjuster (D). Set to

本発明の組成物の製造について詳細は示さないが、当該製造は、上述の成分(A)及び(B)並びに(C)及び/又は(D)を、水性媒体(特に脱イオン水)中で溶解又は分散させることで実行することができる。このために、撹拌槽、インライン溶解機、高剪断インペラ、超音波ミキサー、ホモジナイザーノズル又は向流ミキサー等の従来の標準的な混合方法及び混合装置を使用することができる。従って、好ましくは、得られた本発明の組成物は、固体の塊又は凝集体等の粗い粒子を除去して細かく分散された研磨粒子(B)を得るために、適切なメッシュ開口のフィルターを通して濾過することができる。   Although details are not given for the preparation of the composition of the present invention, the preparation involves the above-described components (A) and (B) and (C) and / or (D) in an aqueous medium (particularly deionized water). It can be carried out by dissolving or dispersing. For this purpose, conventional standard mixing methods and mixing devices such as stirring tanks, in-line dissolvers, high shear impellers, ultrasonic mixers, homogenizer nozzles or countercurrent mixers can be used. Thus, preferably, the resulting composition of the present invention is passed through a filter with a suitable mesh opening to remove coarse particles such as solid masses or aggregates to obtain finely dispersed abrasive particles (B). It can be filtered.

最も驚くべきことに、N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩(A)は、本発明の使用方法、すなわち、機械、電気、光学デバイスの製造に最も適している。   Most surprisingly, N-substituted diazenium dioxides and N′-hydroxydiazenium oxide salts (A) are most suitable for the method of use of the present invention, ie for the production of mechanical, electrical and optical devices. .

特に、電気デバイスとしては、集積回路装置、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、機械装置としての高精度機械装置であり、及び光学デバイスが、フォトマスク等の光学ガラス、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、例えば光ファイバ及びシンチレータの端面における光学単結晶、固体レーザ単結晶、青色レーザLED用のサファイア基板、半導体単結晶、及び磁気ディスク用のガラス基板である。   In particular, electrical devices include integrated circuit devices, liquid crystal panels, organic electroluminescence panels, printed circuit boards, micromachines, DNA chips, microplants, and magnetic heads, high-precision mechanical devices as mechanical devices, and optical devices The device is an optical glass such as a photomask, a lens and a prism, a conductive film of an inorganic material such as indium tin oxide (ITO), an optical integrated circuit, an optical switching element, an optical waveguide, for example, an end face of an optical fiber and a scintillator Optical single crystal, solid state laser single crystal, sapphire substrate for blue laser LED, semiconductor single crystal, and glass substrate for magnetic disk.

より好ましくは、N−置換ジアゼニウムジオキシド、N’−ヒドロキシジアゼニウムオキシド塩(A)、及びこれらが含まれる本発明の組成物は、特に大規模集積回路又は超大規模集積回路をともなう集積回路(50nm未満の大きさの構造を有する)の製造に使用される。   More preferably, the N-substituted diazenium dioxide, the N′-hydroxydiazenium oxide salt (A), and the compositions of the present invention comprising them are particularly associated with large scale or very large scale integrated circuits. Used in the manufacture of integrated circuits (having structures of size less than 50 nm).

最も好ましくは、本発明の組成物は本発明の方法に極めて適している。   Most preferably, the composition of the present invention is very suitable for the method of the present invention.

本発明の方法においては、電気、機械、及び光学デバイス用、特に電気デバイス、最も好ましくは集積回路装置用の基板材料を、本発明の組成物に1回以上接触させて研磨(特に機械及び化学研磨)し、所望の平面度が実現される。   In the method of the present invention, substrate materials for electrical, mechanical, and optical devices, particularly electrical devices, most preferably integrated circuit devices, are polished by contact with the composition of the present invention one or more times (particularly mechanical and chemical). The desired flatness is achieved.

本発明における方法は、low−k材料又はultra−low−k材料及び窒化ケイ素層及び/又はポリシリコン層から成る絶縁層を有するシリコン半導体ウェーハのCMPに対して特に有効である。   The method of the present invention is particularly effective for CMP of silicon semiconductor wafers having a low-k material or an ultra-low-k material and an insulating layer comprising a silicon nitride layer and / or a polysilicon layer.

好適なlow−k材料又はultra−low−k材料、及び好適な絶縁性絶縁体層を製造する方法は、例えば、US2005/0176259A1の2ページの段落[0025]〜[0027]、US2005/0014667A1の1ページの段落[0003]、US2005/0266683A1の1ページの段落[0003]及び2ページの段落[0024]、US2008/0280452A1の段落[0024]〜[0026]、US7250391B2の第1欄の49行目〜54行目、又はEP1306415A2の4ページの段落[0031]に記載されている。   Suitable low-k or ultra-low-k materials and methods for producing suitable insulating insulator layers are described, for example, in US 2005/0176259 A1, page 2, paragraphs [0025]-[0027], US 2005/0014667 A1. Paragraph [0003] on page 1; Paragraph [0003] on page 1 of US2005 / 0266683A1; Paragraph [0024] on page 2; Paragraphs [0024] to [0026] of US2008 / 0280452A1; line 49 of column 1 of US7250391B2. -Line 54, or paragraph [0031] on page 4 of EP 1306415A2.

本発明の方法は、特にパターン化されたウェーハ基板で二酸化ケイ素を選択的に除去して窒化ケイ素とするシャロートレンチアイソレーション(STI)に適している。この方法においては、エッチングされたトレンチが、停止層としての窒化ケイ素バリア膜を用いて研磨される二酸化ケイ素等の絶縁体材料で過剰充填される。好ましい実施の形態において、本発明の方法が、さらされた窒化ケイ素及びトレンチの酸化ケイ素の除去を最小限に抑えつつ、バリア膜から二酸化ケイ素を除去して終了する。   The method of the present invention is particularly suitable for shallow trench isolation (STI) in which silicon dioxide is selectively removed to silicon nitride on a patterned wafer substrate. In this method, the etched trench is overfilled with an insulator material such as silicon dioxide that is polished using a silicon nitride barrier film as a stop layer. In a preferred embodiment, the method of the present invention ends with the removal of silicon dioxide from the barrier film while minimizing the removal of exposed silicon nitride and trench silicon oxide.

本発明における方法の詳細は示さないが、本発明の方法は、ICsとともに半導体ウェーハを製造する際におけるCMPに従来使用されていた方法及び設備を用いて行うことができる。   Although details of the method according to the present invention are not shown, the method according to the present invention can be performed by using a method and equipment conventionally used for CMP in manufacturing a semiconductor wafer together with ICs.

当技術分野で知られているように、CMP用の典型的な装置は、研磨パッドで覆われて回転するプラテンから構成される。ウェーハは、その上面側が研磨パッドに対向するようにキャリア又はチャックに取付けられる。このキャリアは、ウェーハを水平に固定する。   As is known in the art, a typical apparatus for CMP consists of a rotating platen covered with a polishing pad. The wafer is attached to a carrier or a chuck so that the upper surface of the wafer faces the polishing pad. This carrier fixes the wafer horizontally.

デバイスの研磨及び保持におけるこの特定の配置は、硬質プラテン設計として知られている。キャリアは、キャリアの保持面と研磨されていないウェーハの表面との間に位置するキャリアパッドを保持することができる。このパッドは、ウェーハ用のクッションとして機能させることができる。   This particular arrangement in device polishing and holding is known as a rigid platen design. The carrier can hold a carrier pad located between the carrier holding surface and the unpolished wafer surface. This pad can function as a cushion for the wafer.

キャリアの下方には、より大きな直径のプラテンが概ね水平に配置され、研磨されるウェーハの面に対して平行な面を呈している。平面化プロセス中に、プラテンの研磨パッドにウェーハ表面が接触する。本発明のCMPプロセスの間に、本発明の組成物は、連続流又は滴下方式で研磨パッドに塗布される。   Below the carrier, a larger diameter platen is disposed generally horizontally and presents a plane parallel to the surface of the wafer being polished. During the planarization process, the wafer surface contacts the polishing pad of the platen. During the CMP process of the present invention, the composition of the present invention is applied to the polishing pad in a continuous flow or drop manner.

キャリアとプラテンは双方とも、該キャリアとプラテンに対して直交して延びるそれぞれのシャフトの周りを回転するようになっている。回転キャリアのシャフトは、回転プラテンに対して固定されたままであるか、又はプラテンに対して水平に振動するようになっていても良い。キャリアの回転方向は、通常、プラテンの回転方向と同一であるが、必ずしもこれに限られない。キャリアとプラテンの回転速度は、通常、異なる値に設定されるが、必ずしもこれに限られない。   Both the carrier and the platen are adapted to rotate about respective shafts that extend orthogonal to the carrier and the platen. The shaft of the rotating carrier may remain fixed with respect to the rotating platen or may oscillate horizontally with respect to the platen. The rotation direction of the carrier is usually the same as the rotation direction of the platen, but is not necessarily limited thereto. The rotation speeds of the carrier and the platen are usually set to different values, but are not necessarily limited to this.

慣例的には、プラテンの温度は10〜70℃の間の温度に設定される。   Conventionally, the temperature of the platen is set to a temperature between 10 and 70 ° C.

さらなる詳細は、WO2004/063301A1において、図1とともに、特に16ページの段落[0036]〜18ページの段落[0040]に記載されている。   Further details are described in WO 2004/063031 A1 in conjunction with FIG. 1, especially in paragraph [0036] on page 16 to paragraph [0040] on page 18.

本発明の方法によれば、パターン化されたlow−k材料及びultra−low−k材料の層、特に二酸化ケイ素層を含み、極めて良好な平面度を有するICsの半導体ウェーハが得られる。従って、完成後のICに優れた平面度及び優れた電気的機能を与える銅のダマシン模様が得られる。   According to the method of the present invention, semiconductor wafers of ICs are obtained which have a very good flatness, including layers of patterned low-k and ultra-low-k materials, in particular silicon dioxide layers. Therefore, it is possible to obtain a copper damascene pattern that gives excellent flatness and excellent electrical function to the finished IC.

(実施例)
N−シクロヘキシル−N’−ヒドロキシジアゼニウムジオキシドカリウム塩を含む組成物1〜3(実施例1〜3)、並びに組成物C1及びC2(比較実験C1及びC2)の製造。
(Example)
Preparation of compositions 1-3 (Examples 1-3) comprising N-cyclohexyl-N′-hydroxydiazenium dioxide potassium salt, and compositions C1 and C2 (comparative experiments C1 and C2).

実施例1〜3及び比較実験C1及びC2のために、組成物1〜3、C1、及びC2を、超純脱イオン水中に成分を溶解及び分散させることにより製造した。表1には、使用される成分の量を示す。   For Examples 1-3 and Comparative Experiments C1 and C2, Compositions 1-3, C1, and C2 were prepared by dissolving and dispersing the components in ultrapure deionized water. Table 1 shows the amount of ingredients used.

表1:組成物1〜3、C1、及びC2の製造のために使用される成分の量   Table 1: Amounts of ingredients used for the production of Compositions 1-3, C1, and C2.

Figure 2013540850
Figure 2013540850

a)ポリリン酸:ポリリン酸対するセリアの質量比は200
b)N−シクロヘキシル−N’−ヒドロキシジアゼニウムオキシドカリウム塩
a) Mass ratio of polyphosphoric acid: ceria to polyphosphoric acid is 200
b) N-cyclohexyl-N′-hydroxydiazenium oxide potassium salt

実施例4〜6、並びに比較実験C3及びC4
N−シクロヘキシル−N’−ヒドロキシジアゼニウムジオキシドカリウム塩(実施例4〜6)を含む組成物、及び塩を含まない組成物(比較実験C3及びC4)についての、窒化ケイ素における酸化ケイ素の選択度
Examples 4-6 and comparative experiments C3 and C4
Silicon oxide in silicon nitride for compositions containing N-cyclohexyl-N′-hydroxydiazenium dioxide potassium salt (Examples 4-6) and compositions without salt (Comparative Experiments C3 and C4) Selectivity

実施例1の組成物1を実施例4で使用し、実施例2の組成物2を実施例5で使用し、実施例3の組成物3を実施例6で使用した。   Composition 1 of Example 1 was used in Example 4, Composition 2 of Example 2 was used in Example 5, and Composition 3 of Example 3 was used in Example 6.

比較実験C1の組成物C1を比較実験C3で使用し、比較実験C2の組成物C2を比較実験C4で使用した。   Composition C1 from comparative experiment C1 was used in comparative experiment C3, and composition C2 from comparative experiment C2 was used in comparative experiment C4.

窒化ケイ素における酸化ケイ素の選択度を測定するために、酸化物層又は窒化ケイ素物層を含むシリコンウェーハを、実施例4〜6並びに比較実験C3及びC4に使用した。   In order to measure the selectivity of silicon oxide in silicon nitride, silicon wafers containing oxide or silicon nitride layers were used in Examples 4-6 and comparative experiments C3 and C4.

研磨速度(すなわち、材料除去率、MRR)の質量差によって測定した。これについては、Sartorius LA310 S scale又はFilmmetrics F50反射率計を用いてCMP前後のウェーハのMRRSを計算するために、熱二酸化シリコンの濃度として1.9kg/Lを使用し、の濃度として1.9kg/Lを使用し、窒化ケイ素の濃度として3.44kg/Lを使用した。研磨実験を、Strasbaugh nSpire (Model 6EC)、ViPRRフローティングリテーニングリングキャリアを用いて次のパラメータで行った:
−下部圧力:3.5 PSI(240ミリバール);
−背側圧力:0.5 PSI(34.5ミリバール);
−リテーニングリング圧力:2.5 PSI(172ミリバール);
−研磨テーブル/キャリア速度:95/85rpm;
−スラリー流量:200 ml/分;
−研磨時間:60秒;
−パッド調整:原位置(9.2〜9.0 Ibs、41 N);
−研磨パッド:IC1000 A2積み重ねパッド、xy k溝(R&H);
−バッキングフィルム:ストラスボー、DF200(136穴);
−調整ディスク:ストラスボーサソール。
Measured by the mass difference in polishing rate (ie, material removal rate, MRR). In this regard, to calculate the MRRS of the wafer before and after CMP using a Sartorius LA310 S scale or Filmmetrics F50 reflectometer, a concentration of 1.9 kg / L is used as the concentration of thermal silicon dioxide, / L was used, and the concentration of silicon nitride was 3.44 kg / L. Polishing experiments were performed with Strasbaugh nSpire (Model 6EC), ViPRR floating retaining ring carrier with the following parameters:
Bottom pressure: 3.5 PSI (240 mbar);
-Dorsal pressure: 0.5 PSI (34.5 mbar);
-Retaining ring pressure: 2.5 PSI (172 mbar);
Polishing table / carrier speed: 95/85 rpm;
-Slurry flow rate: 200 ml / min;
-Polishing time: 60 seconds;
-Pad adjustment: in-situ (9.2-9.0 Ibs, 41 N);
Polishing pad: IC1000 A2 stacked pad, xy k groove (R &H);
-Backing film: Strasbourg, DF200 (136 holes);
-Adjustment disc: Strathbosa sole.

すなわち、表2において、得られたMRRS及び計算された窒化ケイ素における酸化ケイ素の選択度の概要を示す。   That is, Table 2 summarizes the selectivity of silicon oxide in the obtained MRRS and calculated silicon nitride.

表2:材料除去率及び組成物1〜3(実施例4〜6)、並びにC1及びC2(比較実験C3及びC4)の窒化ケイ素における酸化ケイ素の選択度   Table 2: Material removal rate and silicon oxide selectivity in silicon nitride of C1 and C2 (comparative experiments C3 and C4) and compositions 1-3 (Examples 4-6)

Figure 2013540850
a)材料除去率[オングストローム/分]
b)MRR(TEOS(オルトケイ酸テトラエチル)の材料除去率[オングストローム/分])
c)選択度TEOS/Si3N4
Figure 2013540850
a) Material removal rate [Angstrom / min]
b) MRR (material removal rate of TEOS (tetraethyl orthosilicate) [angstrom / min])
c) Selectivity TEOS / Si3N4

表2示されている結果により、特に単糖又は単糖及びシクリトールを組み合わせて、N−置換N’−ヒドロキシジアゼニウムジオキシド塩を使用することにより、窒化ケイ素における酸化ケイ素の選択度が顕著に増加していることがわかる。   According to the results shown in Table 2, the selectivity of silicon oxide in silicon nitride is remarkable by using N-substituted N′-hydroxydiazenium dioxide salt, particularly in combination with monosaccharide or monosaccharide and cyclitol. It can be seen that it has increased.

組成物C2は、N−置換N’−ヒドロキシジアゼニウムオキシド塩を含まないが、単糖が比較的高い窒化ケイ素における酸化ケイ素の選択度を示す。しかし、組成物C2は、保存中に、細菌及び真菌により攻撃を受けた。   Composition C2 does not contain an N-substituted N'-hydroxydiazenium oxide salt, but exhibits silicon oxide selectivity in silicon nitride with relatively high monosaccharides. However, composition C2 was attacked by bacteria and fungi during storage.

Claims (18)

(A)N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩からなる群から選択される少なくとも1種の水溶性又は水分散性化合物と、
(B)少なくとも一種の研磨粒子と、
を含む水性研磨剤組成物。
(A) at least one water-soluble or water-dispersible compound selected from the group consisting of N-substituted diazenium dioxide and N′-hydroxydiazenium oxide salt;
(B) at least one abrasive particle;
An aqueous abrasive composition comprising:
N−置換ジアゼニウムジオキシド(A)が、一般式I:
Figure 2013540850
で表され、
Rは、少なくとも一種の残基を含む部分を表し、該残基は、モノマーの、オリゴマーの、及びポリマーの、置換及び非置換の、飽和及び不飽和の脂肪族及び脂環式の基からなる群から選択され、該残基は、少なくとも1個のヘテロ原子及び/又は少なくとも1つの二官能性又は三官能性架橋基を含まないか又は含み、並びにモノマーの、オリゴマーの、及びポリマーの、置換及び非置換の、飽和及び不飽和の脂肪族及び脂環式の基は、少なくとも1個のヘテロ原子を含まないか又は含み、数nは1〜1000であり、
更に、N−置換N’−ヒドロキシジアゼニウムオキシド塩(A)が、一般式II:
Figure 2013540850
で表され、
式中、Rは、上記の意味であり、Mが、有機及び無機の、モノマーの、オリゴマーの、及びポリマーのカチオンからなる群から選択され、数n及びmが、ともに1〜2000である請求項1に記載の水性研磨剤組成物。
N-substituted diazenium dioxide (A) is represented by the general formula I:
Figure 2013540850
Represented by
R represents a moiety containing at least one residue, which consists of monomeric, oligomeric and polymeric, substituted and unsubstituted, saturated and unsaturated aliphatic and cycloaliphatic groups. Selected from the group, the residue does not contain or contain at least one heteroatom and / or at least one difunctional or trifunctional bridging group and is substituted by monomeric, oligomeric and polymeric And unsubstituted, saturated and unsaturated aliphatic and alicyclic groups do not contain or contain at least one heteroatom, and the number n is 1-1000,
Further, the N-substituted N′-hydroxydiazenium oxide salt (A) is represented by the general formula II:
Figure 2013540850
Represented by
Wherein R is as defined above, M is selected from the group consisting of organic and inorganic, monomeric, oligomeric and polymeric cations, and the numbers n and m are both 1 to 2000. Item 12. The aqueous abrasive composition according to Item 1.
n及びmが、ともに1〜10の整数である請求項2に記載の水性研磨剤組成物。   The aqueous abrasive | polishing agent composition of Claim 2 whose n and m are both integers of 1-10. 研磨剤組成物の全質量を基準として、0.01〜1000ppmの化合物(A)を含む請求項3に記載の水性研磨剤組成物。   The aqueous abrasive | polishing agent composition of Claim 3 containing 0.01-1000 ppm of compounds (A) on the basis of the total mass of an abrasive | polishing agent composition. 研磨粒子(B)が、アルミナ、シリカ、窒化ケイ素、炭化ケイ素、チタニア、ジルコニア、セリア、酸化亜鉛、及びこれらの混合物からなる群から選択される請求項1〜4の何れか1項に記載の水性研磨剤組成物。   The abrasive particles (B) according to any one of claims 1 to 4, wherein the abrasive particles (B) are selected from the group consisting of alumina, silica, silicon nitride, silicon carbide, titania, zirconia, ceria, zinc oxide, and mixtures thereof. Aqueous abrasive composition. 研磨粒子(B)が、セリアを含有するか、又はセリアから成る請求項5に記載の水性研磨剤組成物。   The aqueous abrasive | polishing agent composition of Claim 5 in which an abrasive particle (B) contains ceria or consists of ceria. 研磨粒子(B)が、動的レーザ光散乱により測定して1〜1000nmの平均粒径を有する請求項5又は6に記載の水性研磨剤組成物。   The aqueous abrasive | polishing agent composition of Claim 5 or 6 with which an abrasive particle (B) has an average particle diameter of 1-1000 nm measured by dynamic laser light scattering. 研磨剤組成物の全質量を基準として、0.005〜10質量%の研磨粒子(B)を含む請求項1〜7の何れか1項に記載の水性研磨剤組成物。   The aqueous abrasive | polishing composition of any one of Claims 1-7 containing 0.005-10 mass% abrasive | polishing particle (B) on the basis of the total mass of an abrasive | polishing agent composition. 成分(A)及び(B)とは異なる少なくとも一種の官能性成分(C)を含む請求項1〜7の何れか1項に記載の水性研磨剤組成物。   The aqueous abrasive | polishing agent composition of any one of Claims 1-7 containing the at least 1 type of functional component (C) different from a component (A) and (B). 官能性成分(C)が、有機、無機、及び有機−無機混合の研磨粒子、下限臨界溶液温度LCST及び上限臨界溶液温度UCSTを有する材料、酸化剤、不動態化剤、電荷反転剤、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する有機ポリオール、水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のポリマーから構成されるオリゴマー及びポリマー、錯化剤又はキレート剤、摩擦調整剤、安定化剤、レオロジー調整剤、界面活性剤、金属カチオン、及び有機溶媒から成る群から選択される請求項9に記載の水性研磨剤組成物。   Functional component (C) is an organic, inorganic and organic-inorganic mixed abrasive particle, material having lower critical solution temperature LCST and upper critical solution temperature UCST, oxidizing agent, passivating agent, charge reversing agent, aqueous medium Organic polyols having at least three hydroxy groups not dissociating in, oligomers and polymers composed of at least one polymer having at least three hydroxy groups not dissociating in an aqueous medium, complexing agents or chelating agents, friction modifiers, The aqueous abrasive composition of claim 9 selected from the group consisting of stabilizers, rheology modifiers, surfactants, metal cations, and organic solvents. 電荷反転剤(C)が、少なくとも1つのアニオン性基を含むモノマー、オリゴマー、及びポリマーの化合物から成る群から選択され、該化合物が、カルボン酸塩、スルフィン酸塩、硫酸塩、ホスホン酸塩、及びリン酸の基からなる群から選択され、
水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する有機ポリオール(C)、及び/又は水性媒体中で解離しない少なくとも3つのヒドロキシ基を有する少なくとも一種のモノマーから構成されるオリゴマー及びポリマーが、単糖類、二糖類、オリゴ糖類、多糖類、デオキシ糖、アミノ糖、アルドン酸、ケトアルドン酸、ウロン酸、アルダル酸、糖アルコール、及びシクリトールから成る群から選択される請求項10に記載の水性研磨剤組成物。
The charge reversal agent (C) is selected from the group consisting of monomeric, oligomeric and polymeric compounds containing at least one anionic group, wherein the compound is a carboxylate, sulfinate, sulfate, phosphonate, And selected from the group consisting of phosphoric acid groups,
An oligomer and a polymer composed of an organic polyol (C) having at least three hydroxy groups not dissociating in an aqueous medium and / or at least one monomer having at least three hydroxy groups not dissociating in an aqueous medium are monosaccharides The aqueous abrasive composition according to claim 10, selected from the group consisting of: disaccharides, oligosaccharides, polysaccharides, deoxy sugars, amino sugars, aldonic acids, ketoaldonic acids, uronic acids, aldaric acids, sugar alcohols, and cyclitols. object.
成分(A)及び(B)とは異なる少なくとも一種のpH調節剤、又は緩衝剤(D)を含有する請求項1〜8の何れか1項に記載の水性研磨剤組成物。   The aqueous abrasive | polishing agent composition of any one of Claims 1-8 containing the at least 1 type of pH adjuster different from a component (A) and (B), or a buffering agent (D). pH値が3〜10である請求項12に記載の水性研磨剤組成物。   The aqueous abrasive composition according to claim 12, which has a pH value of 3 to 10. 電気、機械、及び光学デバイス用の基板材料を、水性研磨剤組成物に1回以上接触させ、所望の平面度が実現されるまで研磨する方法であって、
請求項1〜13の何れか1項に記載の水性研磨剤組成物を使用する方法。
A method of polishing a substrate material for electrical, mechanical, and optical devices in contact with an aqueous abrasive composition one or more times until a desired flatness is achieved.
The method of using the aqueous abrasive | polishing agent composition of any one of Claims 1-13.
基板材料が、少なくとも一種の絶縁体材料を含むか、或いは該絶縁体材料から成る少なくとも一層の層を有する請求項14に記載の方法。   The method of claim 14, wherein the substrate material comprises at least one layer of at least one insulator material or made of the insulator material. N−置換ジアゼニウムジオキシド及びN’−ヒドロキシジアゼニウムオキシド塩を、機械、電気、光学デバイスの製造に使用する方法。   A method of using N-substituted diazenium dioxide and N'-hydroxydiazenium oxide salts in the manufacture of mechanical, electrical and optical devices. 電気デバイスが、
集積回路装置、液晶パネル、有機エレクトロルミネッセンスパネル、プリント回路基板、マイクロマシン、DNAチップ、マイクロプラント、及び磁気ヘッドであり、機械装置が高精度機械装置であり、及び光学デバイスが、フォトマスク等の光学ガラス、レンズ及びプリズム、インジウムスズ酸化物(ITO)等の無機材料の導電性フィルム、光集積回路、光スイッチング素子、光導波管、光ファイバ及びシンチレータの端面等の光学単結晶、固体レーザ単結晶、青色レーザLED用のサファイア基板、半導体単結晶、及び磁気ディスク用のガラス基板である請求項16に記載の方法。
Electrical devices
Integrated circuit devices, liquid crystal panels, organic electroluminescence panels, printed circuit boards, micromachines, DNA chips, microplants, and magnetic heads, mechanical devices are high-precision mechanical devices, and optical devices are optical devices such as photomasks. Glass, lenses and prisms, conductive films of inorganic materials such as indium tin oxide (ITO), optical integrated circuits, optical switching elements, optical waveguides, optical single crystals such as end faces of optical fibers and scintillators, solid state laser single crystals The method according to claim 16, which is a sapphire substrate for blue laser LED, a semiconductor single crystal, and a glass substrate for magnetic disk.
集積回路装置が、50nm未満の大きさの構造を有し、大規模集積回路又は超大規模集積回路を有する集積回路を含む請求項17に記載の方法。   The method of claim 17, wherein the integrated circuit device comprises an integrated circuit having a structure less than 50 nm in size and having a large scale integrated circuit or a very large scale integrated circuit.
JP2013527719A 2010-09-08 2011-09-06 Aqueous abrasive composition containing N-substituted diazenium dioxide and / or N'-hydroxydiazenium oxide salt Pending JP2013540850A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072210P 2010-09-08 2010-09-08
US61/380,722 2010-09-08
PCT/IB2011/053891 WO2012032466A1 (en) 2010-09-08 2011-09-06 Aqueous polishing compositions containing n-substituted diazenium dioxides and/or n'-hydroxy-diazenium oxide salts

Publications (2)

Publication Number Publication Date
JP2013540850A true JP2013540850A (en) 2013-11-07
JP2013540850A5 JP2013540850A5 (en) 2014-10-16

Family

ID=45810174

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013527719A Pending JP2013540850A (en) 2010-09-08 2011-09-06 Aqueous abrasive composition containing N-substituted diazenium dioxide and / or N'-hydroxydiazenium oxide salt

Country Status (10)

Country Link
US (1) US20130200039A1 (en)
EP (1) EP2614122A4 (en)
JP (1) JP2013540850A (en)
KR (1) KR101967134B1 (en)
CN (1) CN103210047B (en)
IL (1) IL225084B (en)
RU (1) RU2608890C2 (en)
SG (2) SG10201506215WA (en)
TW (1) TWI598434B (en)
WO (1) WO2012032466A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2016047714A1 (en) * 2014-09-26 2017-07-06 株式会社フジミインコーポレーテッド Polishing composition
JP2019050307A (en) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド Polishing method, and composition for polishing and method for manufacturing the same
JP7469006B2 (en) 2018-08-09 2024-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Oxide Chemical Mechanical Planarization (CMP) Polishing Composition

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012161202A1 (en) * 2011-05-24 2012-11-29 株式会社クラレ Erosion inhibitor for chemical mechanical polishing, slurry for chemical mechanical polishing, and chemical mechanical polishing method
WO2013093556A1 (en) * 2011-12-21 2013-06-27 Basf Se Method for manufacturing cmp composition and application thereof
US8916061B2 (en) * 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
TWI586668B (en) 2012-09-06 2017-06-11 第一三共股份有限公司 Crystals of dispiropyrrolidine derivative
CN106460196A (en) * 2014-03-18 2017-02-22 富士胶片电子材料美国有限公司 Etching composition
US9551075B2 (en) 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
US20160172188A1 (en) * 2014-12-16 2016-06-16 Samsung Sdi Co., Ltd. Rinse solution for silica thin film, method of producing silica thin film, and silica thin film
KR102463863B1 (en) * 2015-07-20 2022-11-04 삼성전자주식회사 Polishing compositions and methods of manufacturing semiconductor devices using the same
CN106189873A (en) * 2016-07-22 2016-12-07 清华大学 A kind of polishing composition
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
US11072726B2 (en) * 2018-06-29 2021-07-27 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11078417B2 (en) 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US20200002607A1 (en) * 2018-06-29 2020-01-02 Versum Materials Us, Llc Low Oxide Trench Dishing Chemical Mechanical Polishing
KR20210018607A (en) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 Polishing slurry, method for manufacturing a display device using the same and disple device
WO2021050333A1 (en) * 2019-09-10 2021-03-18 Fujifilm Electronic Materials U.S.A., Inc. Etching composition

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP2003313542A (en) * 2002-04-22 2003-11-06 Jsr Corp Aqueous dispersion for chemomechanical polishing use
JP2004031893A (en) * 2002-05-17 2004-01-29 Hynix Semiconductor Inc Flash memory cell forming method
WO2006001558A1 (en) * 2004-06-25 2006-01-05 Cheil Industires Inc. High selectivity cmp slurry composition for sti process in semiconductor manufacture
JP2006501294A (en) * 2002-10-02 2006-01-12 ビーエーエスエフ アクチェンゲゼルシャフト Microbicidal composition and method of use thereof
JP2007510678A (en) * 2003-11-11 2007-04-26 ビーエーエスエフ アクチェンゲゼルシャフト Bactericidal composition and method of use thereof
JP2007213020A (en) * 2005-12-22 2007-08-23 Asahi Glass Co Ltd Glass substrate for mask blank and polishing method therefor
JP2009532853A (en) * 2006-04-26 2009-09-10 エヌエックスピー ビー ヴィ Semiconductor device manufacturing method, semiconductor device obtained by the method, and slurry suitable for use in the method
JP2009266882A (en) * 2008-04-22 2009-11-12 Hitachi Chem Co Ltd Abrasive powder, polishing method of base using same, and manufacturing method of electronic component
WO2010011080A2 (en) * 2008-07-24 2010-01-28 테크노세미켐 주식회사 Chemical mechanical polishing composition containing polysilicon polish finisher
WO2010030499A1 (en) * 2008-09-12 2010-03-18 Ferro Corporation Chemical-mechanical polishing compositions and methods of making and using the same
JP2010171064A (en) * 2009-01-20 2010-08-05 Panasonic Corp Semiconductor device and method of manufacturing same

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578882A (en) 1897-03-16 Railway-rail joint
DE2610705C3 (en) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Acid galvanic copper baths
US5057560A (en) 1987-10-05 1991-10-15 Ciba-Geigy Corporation Thermotropic copolymer hydrogels from N,N-dimethylacrylamide and methoxy-ethyl (meth) acrylate
DE3824807A1 (en) 1988-07-21 1990-01-25 Schering Ag DIORGANOZIN COMPOUNDS AND CONTAINERS THEREOF WITH BACTERICIDES AND FUNGICIDES
DE3835370A1 (en) 1988-10-18 1990-04-19 Wolman Gmbh Dr Wood preservative
RU2001934C1 (en) * 1992-02-10 1993-10-30 Научно-производственный кооператив "Экорунд" Suspension for optical glass polishing
FR2694939B1 (en) 1992-08-20 1994-12-23 Schlumberger Cie Dowell Thermoviscosifying polymers, their synthesis and their applications in particular in the petroleum industry.
EP0588249B1 (en) 1992-09-18 1995-11-22 BASF Aktiengesellschaft Process for the preparation of N-hydroxy-N'-diazeniumoxides
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
EP2164095A1 (en) * 1996-09-30 2010-03-17 Hitachi Chemical Co., Ltd. Cerium oxide abrasive and method of polishing substrates
US6068787A (en) 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6110396A (en) 1996-11-27 2000-08-29 International Business Machines Corporation Dual-valent rare earth additives to polishing slurries
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5989301A (en) * 1998-02-18 1999-11-23 Saint-Gobain Industrial Ceramics, Inc. Optical polishing formulation
TW510917B (en) 1998-02-24 2002-11-21 Showa Denko Kk Abrasive composition for polishing semiconductor device and method for manufacturing semiconductor device using same
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6299659B1 (en) 1998-08-05 2001-10-09 Showa Denko K.K. Polishing material composition and polishing method for polishing LSI devices
EP1036836B1 (en) 1999-03-18 2004-11-03 Kabushiki Kaisha Toshiba Aqueous dispersion for chemical mechanical polishing
US7425581B2 (en) 1999-07-30 2008-09-16 Universiteit Utrecht Temperature sensitive polymers
US6491843B1 (en) 1999-12-08 2002-12-10 Eastman Kodak Company Slurry for chemical mechanical polishing silicon dioxide
US6468910B1 (en) 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
DE10006538C2 (en) 2000-02-15 2002-11-28 Forsch Pigmente Und Lacke E V Process for coating particles with LCST polymers
KR100378180B1 (en) 2000-05-22 2003-03-29 삼성전자주식회사 Slurry for chemical mechanical polishing process and method of manufacturing semiconductor device using the same
EP1197587B1 (en) 2000-10-13 2006-09-20 Shipley Co. L.L.C. Seed layer repair and electroplating bath
FR2824832B1 (en) 2001-05-16 2005-05-27 Oreal WATER-SOLUBLE WATER-SOLUBLE SKELETOLYMERIC POLYMERS WITH LCST LATERAL UNITS, PROCESS FOR THEIR PREPARATION, AQUEOUS COMPOSITIONS CONTAINING SAME, AND USE THEREOF IN THE COSMETIC FIELD
DE10152993A1 (en) 2001-10-26 2003-05-08 Bayer Ag Composition for the chemical mechanical polishing of metal and metal / dielectric structures with high selectivity
WO2003078947A2 (en) 2002-03-15 2003-09-25 The Penn State Research Foundation Method for control of temperature-sensitivity of polymers in solution
JP3516446B2 (en) 2002-04-26 2004-04-05 東京応化工業株式会社 Photoresist stripping method
JP4443864B2 (en) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ Cleaning solution for removing resist or etching residue and method for manufacturing semiconductor device
US6645265B1 (en) 2002-07-19 2003-11-11 Saint-Gobain Ceramics And Plastics, Inc. Polishing formulations for SiO2-based substrates
DE10243438A1 (en) 2002-09-18 2004-03-25 Merck Patent Gmbh Surface modified effect pigment, useful in paints, printing inks and polymers as well as the laser marking of paper and plastic, is based on a platelet substrate sheathed with at least one layer of immobilized LCST- and/or UCST-polymers
DE10254430A1 (en) 2002-11-21 2004-06-03 Süd-Chemie AG LCST polymers
DE10254432A1 (en) 2002-11-21 2004-06-03 Süd-Chemie AG Lower critical solution temperature polymer for coating particles or surfaces, e.g. pigment particles, made by copolymerizing various functional vinyl monomers, e.g. N,N-dialkyl-acrylamide with maleic anhydride
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
KR100539983B1 (en) 2003-05-15 2006-01-10 학교법인 한양학원 Ceria Abrasives for CMP and Methods of Fabricating the Same
US7037351B2 (en) 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
CN1849379B (en) * 2003-07-11 2011-12-14 格雷斯公司 Abrasive partilcle for chemical mechanical polishing
KR100574225B1 (en) 2003-10-10 2006-04-26 요업기술원 Silica/Ceria/Silica Composite Particles for CMP slurry and Process for its production
DE10358092A1 (en) 2003-12-10 2005-07-14 Merck Patent Gmbh Surface modified particles
JP4420391B2 (en) 2004-05-28 2010-02-24 三井金属鉱業株式会社 Cerium-based abrasive
US7026441B2 (en) 2004-08-12 2006-04-11 Intel Corporation Thermoresponsive sensor comprising a polymer solution
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
TWI400365B (en) 2004-11-12 2013-07-01 Enthone Copper electrodeposition in microelectronics
JP4131270B2 (en) 2005-03-01 2008-08-13 トヨタ自動車株式会社 Vehicle braking / driving force control device
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US20060216935A1 (en) 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
FR2889194A1 (en) 2005-07-27 2007-02-02 Rhodia Chimie Sa BLOCK COPOLYMER COMPRISING LCST BLOCK HAVING LOW SOLUBILITE CRITICAL TEMPERATURE, FORMULATIONS COMPRISING THE COPOLYMER, AND USE FOR VECTORIZING AN ACTIVE INGREDIENT
WO2007019342A2 (en) 2005-08-05 2007-02-15 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
WO2007040118A1 (en) 2005-09-30 2007-04-12 Toyama Prefecture Chip provided with film having hole pattern with the use of thermoresponsive polymer and method of producing the same
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
WO2007055278A1 (en) 2005-11-11 2007-05-18 Hitachi Chemical Co., Ltd. Polishing agent for silicon oxide, liquid additive, and method of polishing
KR100880107B1 (en) 2006-01-25 2009-01-21 주식회사 엘지화학 Cmp slurry and method for polishing semiconductor wafer using the same
US9120952B2 (en) 2006-10-27 2015-09-01 University Of South Florida Polymeric microgels for chemical mechanical planarization (CMP) processing
MY154929A (en) * 2007-02-08 2015-08-28 Fontana Technology Particle removal method and composition
GB0718440D0 (en) 2007-09-21 2007-10-31 Reckitt Benckiser Uk Ltd Hard surface treatment compositions with improved mold fungi remediation properties
SG188206A1 (en) * 2010-09-08 2013-04-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003313542A (en) * 2002-04-22 2003-11-06 Jsr Corp Aqueous dispersion for chemomechanical polishing use
JP2004031893A (en) * 2002-05-17 2004-01-29 Hynix Semiconductor Inc Flash memory cell forming method
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP2006501294A (en) * 2002-10-02 2006-01-12 ビーエーエスエフ アクチェンゲゼルシャフト Microbicidal composition and method of use thereof
JP2007510678A (en) * 2003-11-11 2007-04-26 ビーエーエスエフ アクチェンゲゼルシャフト Bactericidal composition and method of use thereof
WO2006001558A1 (en) * 2004-06-25 2006-01-05 Cheil Industires Inc. High selectivity cmp slurry composition for sti process in semiconductor manufacture
JP2007213020A (en) * 2005-12-22 2007-08-23 Asahi Glass Co Ltd Glass substrate for mask blank and polishing method therefor
JP2009532853A (en) * 2006-04-26 2009-09-10 エヌエックスピー ビー ヴィ Semiconductor device manufacturing method, semiconductor device obtained by the method, and slurry suitable for use in the method
JP2009266882A (en) * 2008-04-22 2009-11-12 Hitachi Chem Co Ltd Abrasive powder, polishing method of base using same, and manufacturing method of electronic component
WO2010011080A2 (en) * 2008-07-24 2010-01-28 테크노세미켐 주식회사 Chemical mechanical polishing composition containing polysilicon polish finisher
WO2010030499A1 (en) * 2008-09-12 2010-03-18 Ferro Corporation Chemical-mechanical polishing compositions and methods of making and using the same
JP2010171064A (en) * 2009-01-20 2010-08-05 Panasonic Corp Semiconductor device and method of manufacturing same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2016047714A1 (en) * 2014-09-26 2017-07-06 株式会社フジミインコーポレーテッド Polishing composition
JP2019050307A (en) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド Polishing method, and composition for polishing and method for manufacturing the same
US11749531B2 (en) 2017-09-11 2023-09-05 Fujimi Incorporated Polishing method, and polishing composition and method for producing the same
JP7469006B2 (en) 2018-08-09 2024-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Oxide Chemical Mechanical Planarization (CMP) Polishing Composition

Also Published As

Publication number Publication date
US20130200039A1 (en) 2013-08-08
RU2608890C2 (en) 2017-01-26
EP2614122A4 (en) 2014-01-15
KR20130133175A (en) 2013-12-06
IL225084B (en) 2018-01-31
CN103210047B (en) 2018-07-17
TW201217506A (en) 2012-05-01
KR101967134B1 (en) 2019-04-09
EP2614122A1 (en) 2013-07-17
RU2013115236A (en) 2014-10-20
SG10201506215WA (en) 2015-09-29
CN103210047A (en) 2013-07-17
SG188459A1 (en) 2013-04-30
WO2012032466A1 (en) 2012-03-15
TWI598434B (en) 2017-09-11

Similar Documents

Publication Publication Date Title
JP2013540850A (en) Aqueous abrasive composition containing N-substituted diazenium dioxide and / or N'-hydroxydiazenium oxide salt
JP5965906B2 (en) Aqueous polishing composition and method for chemical mechanical polishing of a substrate comprising a silicon oxide dielectric film and a polysilicon film
JP6196155B2 (en) Aqueous abrasive composition and method for polishing substrate materials for electrical, mechanical and optical devices
JP5965907B2 (en) Aqueous polishing composition and method for chemical mechanical polishing of substrates for electrical equipment, mechanical equipment and optical equipment
TWI538970B (en) Process for chemically mechanically polishing substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films
TWI721074B (en) Chemical mechanical polishing slurry and application thereof
JP6125507B2 (en) Chemical mechanical polishing (CMP) composition comprising a glycoside
TW201043685A (en) A method for chemical mechanical polishing a substrate
US9070632B2 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
TW201123288A (en) Method for forming through-base wafer vias for fabrication of stacked devices
TW201250809A (en) Method for forming through-base wafer vias
JP6930976B2 (en) How to polish low K substrate
JP7041135B2 (en) Oxide and nitride selective CMP compositions with improved dishing and pattern selectivity
KR20170072524A (en) Chemical Mechanical Polishing Slurry and POLISHING METHOD USING THE SAME
TWI565770B (en) Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned of unpatterned low-k dielectric layers
TW202231805A (en) Self-stopping polishing composition and method for high topological selectivity

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140901

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140901

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160229

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160309

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160906