KR20130133175A - N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물 - Google Patents

N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물 Download PDF

Info

Publication number
KR20130133175A
KR20130133175A KR1020137008945A KR20137008945A KR20130133175A KR 20130133175 A KR20130133175 A KR 20130133175A KR 1020137008945 A KR1020137008945 A KR 1020137008945A KR 20137008945 A KR20137008945 A KR 20137008945A KR 20130133175 A KR20130133175 A KR 20130133175A
Authority
KR
South Korea
Prior art keywords
acid
substituted
polishing composition
diazenium
group
Prior art date
Application number
KR1020137008945A
Other languages
English (en)
Other versions
KR101967134B1 (ko
Inventor
바슈티안 놀러
디아나 프란츠
유주오 리
이브라힘 쉐익 안사르 우스만
하비 웨인 핀더
시얌 순다르 벤카타라만
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20130133175A publication Critical patent/KR20130133175A/ko
Application granted granted Critical
Publication of KR101967134B1 publication Critical patent/KR101967134B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01NPRESERVATION OF BODIES OF HUMANS OR ANIMALS OR PLANTS OR PARTS THEREOF; BIOCIDES, e.g. AS DISINFECTANTS, AS PESTICIDES OR AS HERBICIDES; PEST REPELLANTS OR ATTRACTANTS; PLANT GROWTH REGULATORS
    • A01N51/00Biocides, pest repellants or attractants, or plant growth regulators containing organic compounds having the sequences of atoms O—N—S, X—O—S, N—N—S, O—N—N or O-halogen, regardless of the number of bonds each atom has and with no atom of these sequences forming part of a heterocyclic ring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Environmental Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Zoology (AREA)
  • Wood Science & Technology (AREA)
  • General Health & Medical Sciences (AREA)
  • Dentistry (AREA)
  • Plant Pathology (AREA)
  • Pest Control & Pesticides (AREA)
  • Agronomy & Crop Science (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

(A) N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염으로 이루어진 군으로부터 선택되는 하나 이상의 수용성 또는 수분산성 화합물; 및
(B) 한 종류 이상의 연마제 입자를 포함하는 수성 폴리싱 조성물,
전기적, 기계적 및 광학적 장치의 제조를 위한 화합물 (A) 의 용도 및 상기 수성 폴리싱 조성물을 이용하는 전기적, 기계적 및 광학적 장치를 위한 기재 물질을 폴리싱하는 방법.

Description

N-치환 디아제늄 디옥시드 및/또는 N´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물 {AQUEOUS POLISHING COMPOSITIONS CONTAINING N-SUBSTITUTED DIAZENIUM DIOXIDES AND/OR N'-HYDROXY-DIAZENIUM OXIDE SALTS}
본 발명은 N-치환 디아제늄 디옥시드 및/또는 N'-히드록시-디아제늄 옥시드 염을 함유하는, 신규한 수성 폴리싱 조성물, 특히 화학적 기계적 폴리싱 (CMP) 조성물에 관한 것이다.
나아가, 본 발명은 전기적 및 광학적 장치의 제조를 위한, N-치환 디아제늄 디옥시드 및/또는 N'-히드록시-디아제늄 옥시드 염의 신규한 용도에 관한 것이다.
더 나아가, 본 발명은 전기적, 기계적 및 광학적 장치의 제조를 위한 기재 물질을 폴리싱하는 신규한 방법에 관한 것이다.
인용 문헌
본 특허출원에서 인용된 문헌들은 그 전체가 참조로서 인용된다.
화학적 기계적 평탄화 또는 폴리싱 (CMP) 은 집적회로 (IC) 장치의 국부적 및 전면적 평탄도를 달성하기 위한 주요한 공정이다. 상기 기술은 통상적으로 연마제 및 활성 화학 물질로서 기타 첨가제를 함유하는, CMP 조성물 또는 슬러리를 적용된 하중 하에서, 회전하는 기재 표면과 폴리싱 패드 사이에 적용한다. 따라서, 상기 CMP 공정은 연마와 같은 물리적 공정과 산화 또는 킬레이트화와 같은 화학적 공정이 결합되어 있다. 순수하게 물리적 또는 순수하게 화학적 작용으로 구성되는 기재 물질의 제거 또는 폴리싱은 바람직하지 않고, 신속하고 균일한 제거를 달성하기 위해서는 두 가지의 상승적인 조합이 바람직하다.
상기 방식으로, 목적하는 평탄도가 달성되거나, 장벽 내부층 또는 정지층 (stopping layer) 이 노출될 때까지 상기 기재 물질은 제거된다. 궁극적으로는, 뒤이은 포토리소그래피 (photolithography), 패턴화, 에칭 및 박막 가공에 의해 적절한 다층 IC 장치 제조를 가능하게 하는, 편평하고 결함이 없는 표면이 수득된다.
섈로우 트렌치 아이솔레이션 (Shallow trench isolation) (STI) 은 일반적으로 패턴화된 웨이퍼 기재 상의 질화규소에 대한 이산화규소의 선택적인 제거가 요구되는 특정한 CMP 적용이다. 상기 경우에, 에칭된 트렌치는 정지층으로서 질화규소 장벽 필름을 사용하여 폴리싱된 유전체 물질, 예컨대, 이산화규소로 과충전된다. 상기 CMP 공정은 노출된 질화규소 및 트렌치 산화규소의 제거를 최소화하면서, 상기 장벽 필름으로부터 이산화규소를 제거하는 것으로 마무리된다.
상기는 이산화규소 물질 제거 대 질화규소 제거의 높은 상대적 비를 달성하는 것이 가능한 CMP 슬러리가 요구되며, 여기서 상기 비는 또한 산화물 대 질화물 선택성으로서 당업계에 언급되어 있다.
세리아 (ceria)-기반 CMP 슬러리는 또한 세리아의 화학적 치아 작용 (chemical tooth action) 으로서 당업계에 언급되어 있는, 이산화규소에 대한 세리아의 높은 화학적 친화성으로 인한, 비교적 높은 산화물 대 질화물 선택성을 달성할 수 있는 이의 능력 때문에, STI 적용에서 상당한 관심을 받아왔다.
그럼에도 불구하고, 세리아-기반 CMP 시리즈의 산화물 대 질화물 선택성은 상기 선택성을 "조정하는 (tailor)" 첨가제에 의해 개선되어야 한다.
따라서, P. W. Carter 등은 [Electrochemical and Solid-State Letters, 8 (8) G218-G221 (2005), Interfacial Reactivity between Ceria and Silicon Dioxide and Silicon Nitride surfaces, Organic Additive Effects] 에, 상기 산화물 대 질화물 선택성에 대한 글루탐산, 피콜린산, 4-히드록시벤조산, 이미다졸, 아세트산, 포름산, 3-히드록시피콜린산, 안트라닐산, 피롤 카르복실산, 시클로헥산 카르복실산, 피페라진, 피리딘, 2-페닐아세트산, 벤조산, 3-아미노페놀, 숙신산, 베타인, 글리신, 프롤린, 벤젠술폰산, 모르폴린, 살리실산, 테레프탈산, 말산, 이소프로판올, 시트르산 및 옥살산의 영향을 개시하였다.
Y. N. Prasad 등은 [Electrochemical and Solid-State Letters, 9 (12) G337-G339 (2006), Role of Amino-Acid Absorption on Silica and Silicon Nitride Surfaces during STI CMP] 에, 프롤린 및 아르기닌의 영향을 개시하였다.
Hyun-Goo Kang 등은 [Journal of Material Research, volume 22, No. 3, 2007, pages 777 - 787] 에, 섈로우 트렌치 아이솔레이션 화학적 기계적 평탄화에서, SiO2/Si3N4 필름의 제거 선택성에 대한 세리아 슬러리 중 폴리(아크릴산) 의 분자량 및 연마제 입자 크기의 효과를 개시하였다.
S. Kim 등은 [Journal of Colloid and Interface Science, 319 (2008), pages 48 - 52] 에, 화학적 기계적 폴리싱 (CMP) 을 위한 음이온성 고분자 전해질의 흡수 거동을 개시하였다.
S. V. Babu 등은 [Electrochemical and Solid-State Letters, 7 (12) G327-G330 (2004), Slurry Additive Effects on the Suppression of Silicon Nitride Removal during CMP] 에서, 아르기닌, 리신, 프롤린, N-메틸글리신, 알라닌, 글리신, 피콜린산, N,N-디메틸글리신, 3-아미노부티르산 및 이소니콘틴산의 영향을 연구하였다.
Jae-Dong Lee 등은 [Journal of the Electrochemical Society, 149 (8) G477-G481, 2002, Effects of Nonionic surfactants on Oxide-To-Polysilicon Selectivity during Chemical Mechanical Polishing] 에, 상기 선택성에 대한 계면활성제, 예컨대 폴리에틸렌 옥시드 (PEO) 및 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 트리블록 코폴리머의 영향을 개시하였다. 하지만, 상기 산화물 대 질화물 선택성은 언급되어 있지 않다.
미국 특허 US 5,738,800, US 6,042,741, US 6,132,637 및 US 6,218,305 B 에는 착화제, 예컨대 말산, 타르타르산, 글루콘산, 시트르산, 오르쏘 디- 및 폴리히드록시벤조산, 프탈산, 피로카테콜, 피로갈롤, 갈산, 탄닌산 및 이들의 염을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 나아가, 상기 세리아-기반 CMP 슬러리는 음이온성, 양이온성, 양쪽성 또는 비이온성 계면활성제를 함유한다. 상기 세리아-기반 CMP 슬러리는 높은 산화물 대 질화물 선택성을 갖는 것으로 알려져 있다.
미국 특허 US 5,759,917, US 6,689,692 B1 및 US 6,984,588 B2 에는 카르복실산, 예컨대 아세트산, 아디프산, 부티르산, 카프르산, 카프로산, 카프릴산, 시트르산, 글루타르산, 글리콜산, 포름산, 푸마르산, 락트산, 라우르산, 말산, 말레산, 말론산, 미리스트산, 옥살산, 팔미트산, 프탈산, 프로피온산, 피루브산, 스테아르산, 숙신산, 타르타르산, 발레르산, 2-(2-메톡시에톡시)아세트산, 2-[2-(2-메톡시에톡시)에톡시]아세트산, 폴리(에틸렌 글리콜)비스(카르복시메틸)에테르 및 이들의 유도체 및 염을 함유하는, 세리아-기반 CMP 슬러리를 개시하고 있다. 또한, 상기 세리아-기반 CMP 슬러리는 수용성 유기 및 무기 염, 예컨대 니트레이트, 포스페이트 및 술페이트를 함유한다. 상기 세리아-기반 CMP 슬러리는 질화규소 층 보다 우선적으로 산화규소 과충전을 폴리싱하는 것으로 알려져 있다.
미국 특허 US 6,299,659 B1 에는 상기 연마제 입자가 상기 산화물 대 질화물 선택성을 개선하기 위하여, 실란, 티타네이트, 지르코네이트, 알루미늄 및 포스페이트 커플링제로 처리된, 세리아-기반 CMP 슬러리가 개시되어 있다.
미국 특허 출원 US 2002/0034875 A1 및 미국 특허 US 6,626,968 B2 에는 계면활성제, pH 조절제, 예컨대 수산화칼륨, 황산, 질산, 염산 또는 인산 및 친수성 관능기 및 소수성 관능기를 함유하는 폴리머, 예컨대 폴리비닐 메틸 에테르 (PVME), 폴리에틸렌 글리콜 (PEG), 폴리옥시에틸렌 23 라우릴 에테르 (POLE), 폴리프로파노산 (PPA), 폴리아크릴산 (PM) 및 폴리에테르 글리콜 비스 에테르 (PEGBE) 를 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 하지만, 상기 세리아-기반 CMP 슬러리는 산화물 대 폴리실리콘 (polysilicon) 선택성을 증가시킨다.
미국 특허 US 6,436,835 B1 에는 카르복실산 또는 카르복실레이트 또는 술폰산 또는 술팜산 기, 예컨대 폴리아크릴산, 폴리메타크릴산, 나프탈렌 술폰산-포르말린 축합물, 말산, 락트산, 타르타르산, 글루콘산, 시트르산, 숙신산, 아디프산, 푸마르산, 아스파르트산, 글루탐산, 글리신 4-아미노부티르산, 6-아미노헥사노산, 12-아미노라우르산, 아르기닌, 글리실글리신, 라우릴벤젠 술폰산 및 이들의 암모늄 염을 갖는 수용성 유기 화합물을 포함하는, 섈로우 트렌치 아이솔레이션 공정을 위한 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 높은 산화물 대 질화물 선택성을 갖는 것으로 알려져 있다.
미국 특허 US 6,491,843 B1, US 6,544,892 B2 및 US 6,627,107 B2 에는 상기 산화물 대 질화물 선택성을 개선하기 위한, 알파-아미노산, 예컨대 리신, 알라닌 및 프롤린을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다.
미국 특허 US 6,616,514 B1 에는 상기 산화물 대 질화물 선택성을 개선하기 위하여, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록실기를 갖는 유기 폴리올; 또는 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록실기를 갖는 하나 이상의 모노머로부터 형성되는 폴리머, 예컨대 만니톨, 소르비톨, 만노스, 자일리톨, 소르보스, 수크로오스 및 덱스트린을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다.
일본 특허 출원 JP 2005-336400 A 에는 수용성 축합 포스페이트, 예컨대 피로포스페이트, 트리폴리포스페이트 및 헥사메타인산염 및 수용성 탄산염 또는 탄산수소염을 포함하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 수용성 유기 용매, 예컨대 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, 에틸렌 글리콜, 프로필렌 글리콜 및 1,2,3-프로판트리올, 케톤, 예컨대 아세톤 및 메틸에틸케톤, 테트라히드로퓨란, N,N-디메틸포름아미드, 디메틸 술폭시드 및 1,4-디옥산을 함유할 수 있다.
미국 특허 US 7,071,105 B2 및 미국 특허 출원 US 2006/0144824 A1 에는 pKa 4 내지 9 의 관능기를 포함하는 폴리싱 첨가제를 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 폴리싱 첨가제는 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 아미노카르복실산, 시클릭 모노카르복실산, 불포화 모노카르복실산, 치환된 페놀, 술폰아미드, 티올 및 이들의 염, 특히, 클로라이드, 브로마이드, 술페이트, 술포네이트, 트리플루오로메틸 술포네이트, 아세테이트, 트리플루오로아세테이트, 피크레이트, 퍼플루오로부티레이트 뿐 아니라 나트륨, 칼륨 및 암모늄 염으로 이루어진 군으로부터 선택된다.
특별히 언급되는 상기 아릴아민은 아닐린, 4-클로로아닐린, 3-메톡시아닐린, N-메틸아닐린, 4-메톡시아닐린, p-톨루이딘, 안트라닐산, 3-아미노-4-히드록시벤젠술폰산, 아미노벤질알코올, 아미노벤질아민, 1-(-아미노페닐)피롤, 1-(3-아미노페닐)에탄올, 2-아미노페닐 에테르, 2,5-비스-(4-아미노페닐)-1,3,4-옥사디아졸, 2-(2-아미노페닐)-1H-1,3,4-트리아졸, 2-아미노페닐, 3-아미노페닐, 4-아미노페닐, 디메틸아미노페놀, 2-아미노티올페놀, 3-아미노티올페놀, 4-아미노페닐 메틸 술피드, 2-아미노벤젠술폰아미드, 오르타닐산 (orthanilic acid), 3-아미노벤젠 보론산, 5-아미노이소프탈산, 술파세타미드, 술파닐산, o- 또는 p-아르사닐산 및 (3R)-3-(4-트리플루오로메틸페닐아미노)펜타노산이다.
특별히 언급되는 상기 아미노알코올은 트리에탄올아민, 벤질디에탄올아민, 트리스(히드록실메틸)아미노메탄, 히드록실아민 및 테트라시클린이다.
특별히 언급되는 상기 지방족 아민은 메톡시아민, 히드록실아민, N-메틸히드록실아민, N,O-디메틸히드록실아민, 베타-디플루오로에틸아민, 에틸렌디아민, 트리에틸렌디아민, 디에틸((부틸아미노)(2-히드록시페닐)메틸)포스포네이트, 이미노에탄, 이미노부탄, 트리알릴아민, 시아노아민, 예컨대 아미노아세토니트릴, 디메틸아미노아세토니트릴, 2-아미노-2-시아노프로판, 이소프로필아미노프로피오니트릴, 디에틸아미노프로피오니트릴, 아미노프로피오니트릴, 디시아노디에틸아민, 히드라진, 메틸히드라진, 테트라메틸히드라진, N,N-디메틸히드라진, 페닐히드라진, N,N-디에틸히드라진, 트리메틸히드라진, 에틸히드라진 및 이들의 염이다.
특별히 언급되는 상기 헤테로시클릭 아민은 이미다졸, 1-메틸이미다졸, 2-메틸이미다졸, 2-에틸이미다졸, 2-히드록실메틸이미다졸, 1-메틸-2-히드록실메틸이미다졸, 벤조이미다졸, 퀴놀린, 이소퀴놀린, 히드록시퀴놀린, 멜라민, 피리딘, 바이피리딘, 2-메틸피리딘, 4-메틸피리딘, 2-아미노피리딘, 3-아미노피리딘, 2,3-피리딘디카르복실산, 2,5-피리딘디카르복실산, 2,6-피리딘디카르복실산, 5-부틸-2-피리딘카르복실산, 2-피리딘카르복실산, 3-히드록시-2-피리딘카르복실산, 4-히드록시-2-피리딘카르복실산, 3-벤조일-2-피리딘카르복실산, 6-메틸-2-피리딘카르복실산, 3-메틸-2-피리딘카르복실산, 6-브로모-2-피리딘카르복실산, 6-클로로-2-피리딘카르복실산, 3,6-디클로로-2-피리딘카르복실산, 4-히드라지노-3,5,6-트리클로로-2-피리딘카르복실산, 2-퀴놀린카르복실산, 4-메톡시-2-퀴놀린카르복실산, 8-히드록시-2-퀴놀린카르복실산, 4,8-히드록시-2-퀴놀린카르복실산, 7-클로로-4-히드록시-2-퀴놀린카르복실산, 5,7-디클로로-4-히드록시-2-퀴놀린카르복실산, 5-니트로-2-퀴놀린카르복실산, 1-이소퀴놀린카르복실산, 3-이소퀴놀린카르복실산, 아크리딘, 벤조퀴놀린, 벤즈아크리딘, 클로니딘, 아나바신, 노르니코틴, 트리아졸로피리딘, 피리독신, 세로토닌, 히스타민, 벤조디아제핀, 아지리딘, 모르폴린, 1,8-디아자바이시클로(5,4,0)운데센-7 DABCO, 헥사메틸렌테트라민, 피페라진, N-벤조일피페라진, 1-토실피페라진, N-카르복시에틸피페라진,1,2,3-트리아졸, 1,2,4-트리아졸, 2-아미노티아졸, 피롤, 피롤-2-카르복실산, 3-피롤린-2-카르복실산, 에틸피롤린, 시클로헥실피롤린, 톨릴피롤린, 테트라졸, 5-시클로프로필테트라졸, 5-히드록시테트라졸, 5-페녹시테트라졸, 5-페닐테트라졸, 플루오로우라실, 메틸티오우라실, 5,5-디페닐히단토인, 5,5-디메틸-2,4-옥사졸리딘디온, 프탈리미드, 숙신이미드, 3,3-메틸페닐글루타르이미드, 3,3-디메틸숙신이미드, 이미다졸[2,3-b] 티옥사졸, 히드록시이미다조[2,3-a]이소인돌, 5,5-메틸페닐바르비투르산, 1,5,5-트리메틸바르비투르산, 헥소바르비탈, 5,5-디메틸바르비투르산, 1,5-디메틸-5-페닐바르비투르산 및 이들의 염이다.
특히 언급되는 상기 히드록삼산은 포르모히드록삼산, 아세토히드록삼산, 벤조히드록삼산, 살리실히드록삼산, 2-아미노벤조히드록삼산, 2-클로로벤조히드록삼산, 2-플루오로벤조히드록삼산, 2-니트로벤조히드록삼산, 3-니트로벤조히드록삼산, 4-아미노벤조히드록삼산, 4-클로로벤조히드록삼산, 4-플루오로벤조히드록삼산, 4-니트로벤조히드록삼산 및 이들의 염이다.
특별히 언급되는 아미노카르복실산은 글루탐산, 베타-히드록시글루탐산, 아스파르트산, 아스파라긴, 아자세린, 시스테인, 히스티딘, 3-메틸히스티딘, 시토신, 7-아미노세팔로스포란산 및 카르노신이다.
특별히 언급되는 상기 시클릭 모노카르복실산은 나프탈렌-2-카르복실산, 시클로헥산 카르복실산, 시클로헥실 아세트산, 2-페닐락트산, 4-히드록시벤조산, 3-히드록시벤조산, 2-피리딘카르복실산, 시스- 및 트랜스-, 시클로헥산 카르복실산, 벤조산 및 이들의 염이다.
특별히 언급되는 상기 불포화 모노카르복실산은 신남산, 아크릴산, 3-클로로프로프-2-엔카르복실산, 크로톤산, 4-부트-2-엔카르복실산, 시스- 또는 트랜스-2-펜타노산, 2-메틸-2-펜타노산, 2-헥세노산 및 3-에틸-2-헥세노산 및 이들의 염이다.
특별히 언급되는 상기 페놀은 니트로페놀, 2,6-디할로-4-니트로페놀, 2,6-디-C1-12-알킬-4-니트로페놀, 2,4-디니트로페놀, 3,4-디니트로페놀, 2-C1 -12-알킬-4,6-디니트로페놀, 2-할로-4,6-디니트로페놀, 디니트로-o-크레솔, 피크르산 및 이들의 염이다.
특별히 언급되는 술폰아미드는 N-클로로톨릴술폰아미드, 디클로로펜아미드 마페니드, 니메술리드, 술파메티졸, 술파페린, 술파세타미드, 술파디아진, 술파디메톡신, 술파메타진, 술파피리딘, 술파퀴녹살린 및 이들의 염이다.
특별히 언급되는 상기 티올은 히드로겐 디술피드, 시스테아민, 시스테이닐시스테인, 메틸 시스테인, 티오페놀, p-클로로 티오페놀, o-아미노티올페놀, o-메르캅토페닐 아세트산 p-니트로벤젠티올, 2-메르캅토에탄술포네이트, N-디메틸시스테아민, 디프로필시스테아민, 디에틸시스테아민, 메르캅토에틸모르폴린, 메틸티오글리콜레이트, 메르캅토에틸아민, N-트리메틸시스테인, 글루타티온, 메르캅토에틸피페리딘, 디에틸아미노프로판티올 및 이들의 염이다.
상기 폴리싱 첨가제는 상기 산화물 대 질화물 선택성을 증가시키는 것으로 여겨진다.
미국 특허 출원 US 2006/0207188 A1 에는 폴리머, 예컨대 폴리아크릴산 또는 폴리(알킬 메타크릴레이트) 및 모노머, 예컨대 아크릴아미드, 메타크릴아미드, 에틸-메타크릴아미드, 비닐피리딘 또는 비닐피롤리돈의 반응 생성물을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 반응 생성물은 또한 상기 산화물 대 질화물 선택성을 증가시키는 것으로 여겨진다.
미국 특허 출원 US 2006/0216935 A1 에는 단백질, 리신 및/또는 아르기닌 및 피롤리돈 화합물, 예컨대 폴리비닐피롤리돈 (PVP), N-옥틸-2-피롤리돈, N-에틸-2-피롤리돈, N-히드록시에틸-2-피롤리돈, N-시클로헥실-2-피롤리돈, N-부틸-2-피롤리돈, N-헥실-2-피롤리돈, N-데실-2-피롤리돈, N-옥타데실-2-피롤리돈 및 N-헥사데실-2-피롤리돈을 포함하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 폴리아크릴산, 글리콜 및 폴리글리콜과 같은 분산제를 함유할 수 있다. 특정한 예로는 프롤린, 폴리비닐피롤리돈 또는 N-옥틸-2-피롤리돈, PPO/PEO 블록코폴리머 및 글루타르알데히드가 사용된다. 상기 세리아-기반 CMP 슬러리는 트렌치 이산화규소를 공격적으로 제거하지 않기 때문에, 최소 스텝 높이를 상당히 증가시키지 않으면서 종료점을 지나서 확장된 폴리싱을 가능하게 하는 것으로 여겨진다.
미국 특허 출원 US 2007/0077865 A1 에는 폴리에틸렌옥시드/폴리프로필렌옥시드 코폴리머 (바람직하게는 BASF 사에서 판매되는 Pluronic™ 계열) 를 함유하는 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 아미노 알코올, 예컨대 2-디메틸아미노-2-메틸-1-프로판올 (DMAMP), 2-아미노-2-에틸-1-프로판올 (AMP), 2-(2-아미노에틸아미노)에탄올, 2-(이소프로필아미노)에탄올, 2-(메틸아미노)에탄올, 2-(디에틸아미노)에탄올, 2-(2-디메틸아미노)에톡시)에탄올, 1,1'-[[3-(디메틸아미노)프로필]이미노]-비스-2-프로판올, 2-(2-부틸아미노)에탄올, 2-(tert-부틸아미노)에탄올, 2-(디이소프로필아미노)에탄올 및 N-(3-아미노프로필)모르폴린을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 4 차 암모늄 화합물, 예컨대 테트라메틸수산화암모늄, 필름 형성제, 예컨대 알킬 아민, 알칸올아민, 히드록실 아민, 포스페이트 에스테르, 나트륨 라우릴 술페이트, 지방산, 폴리아크릴레이트, 폴리메타크릴레이트, 폴리비닐포스포네이트, 폴리말레이트, 폴리스티렌 술포네이트, 폴리비닐 술페이트, 벤조트리아졸, 트리아졸 및 벤조이미다졸 및 착화제, 예컨대 아세틸아세톤, 아세테이트, 글리콜레이트, 락테이트, 글루코네이트, 갈산, 옥살레이트, 프탈레이트, 시트레이트, 숙시네이트, 타르테이트, 말레이트, 에틸렌디아민테트라아세트산, 에틸렌 글리콜, 피로카테콜, 피로갈롤, 탄닌산, 포스포늄 염 및 포스폰산을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 폴리실리콘에 대한 산화규소 및/또는 질화규소의 우수한 선택성을 제공하는 것으로 여겨진다.
미국 특허 출원 US 2007/0175104 A1 에는 아크릴아미드, 메타크릴아미드 및 이의 알파-치환 유도체; 폴리에틸렌 글리콜; 폴리비닐피롤리돈; 알킬옥실화된 선형 지방족 알코올 및 아세틸렌-기반 디올의 에틸렌옥시드 부가물로 이루어진 군으로부터 선택되는 임의의 구성 성분으로 치환된 N-단일치환 또는 N,N-이중치환된 골격을 갖는, 수용성 폴리머로부터 선택되는, 폴리실리콘 폴리싱 억제제를 포함하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 추가적인 수용성 폴리머, 예컨대 알긴산, 펙틴산, 카르복시메틸셀룰로오스, 아가, 커들란 및 풀루란과 같은 다당류; 폴리카르복실산, 예컨대 폴리아스파르트산, 폴리글루탐산, 폴리리신, 폴리말산, 폴리메타크릴산, 폴리이미드산, 폴리말레산, 폴리이타콘산, 폴리푸마르산, 폴리(p-스티렌 카르복실산), 폴리아크릴산, 폴리아크릴아미드, 아미노 폴리아크릴아미드, 폴리글리옥살산 및 이들의 염; 및 비닐 폴리머, 예컨대 폴리비닐 알코올 및 폴리아크롤레인을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 폴리실리콘에 대한 높은 산화규소 선택성을 갖는 것으로 알려져 있다.
미국 특허 출원 US 2007/0191244 A1 에는 히드록실기 및 카르복실기 또는 둘 다, 예컨대 시트레이트, 말레이트, 글루코네이트, 타르트레이트, 2-히드록시이소부티레이트, 아디페이트, 옥타노에이트, 숙시네이트, EDTA-함유 화합물, 글루타레이트, 메틸렌숙시네이트, 만노스, 글리세로-갈락토-헵토오스, 에리트로-만노-옥토오스, 아라비노-갈락토-노노오스 및 글루타민을 함유하고, 중량 평균 분자량 30 내지 500 을 갖는 화합물을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 알콕시폴리알킬렌 글리콜 측쇄를 갖는, 선형 폴리머 산 또는 그래프트형 폴리머 산을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 상기 폴리싱된 웨이퍼의 개선된 전면적 평탄도를 달성하는 것으로 알려져 있다.
미국 특허 출원 US 2007/0218811 A1 에는 pH 4 내지 7.5 를 갖고, 분산제, 폴리카르복실산 및 3.2 이하에서 가장 먼저 분리가능한 산성기의 pKa 를 갖는 강산 100 내지 1000 ppm 을 함유하는, 세리아-기반 CMP 슬러리를 개시하고 있다. 예를 들면, 아크릴산 및 메타크릴산의 폴리머가 음이온성 분산제로서 언급되고, 폴리옥시에틸렌 유도체가 비이온성 분산제로서 언급되며, 폴리비닐피롤리돈이 양이온성 분산제로서 언급된다. 특히 언급되는 강산은 황산, HCl, 질산, 인산, 옥살산, 말레산, 피크르산, 아황산, 티오아황산, 아미도황산, 염소산, 과염소산, 아염소산, 요오드화수소산, 과요오드산, 요오드산, 브롬화수소산, 과브롬산, 크롬산, 아질산, 디포스폰산, 트리폴리인산, 포스핀산, 피콜린산, 포스폰산, 이소니콘틴산, 니코틴산, 트리클로로아세트산, 디클로로아세트산, 클로로아세트산, 시아노아세트산, 옥살로아세트산, 니트로아세트산, 브로모아세트산, 플루오로아세트산, 페녹시아세트산, o-브로모벤조산, o-니트로벤조산, o-클로로벤조산, p-아미노벤조산, 안트라닐산, 프탈산, 푸마르산, 말론산, 타르타르산, 시트르산, o-클로로아닐린, 2,2'-바이피리딘, 4,4'-바이피리딘, 2,6-피리딘디카르복실산, 피루브산, 폴리스티렌 술폰산, 폴리술폰산, 글루탐산, 살리실산, 아스파르트산, 2-아미노에틸포스폰산, 리신, 아르기닌, 이소류신, 사르코신, 오르니틴, 구아노신, 시트룰린, 티로신, 발린, 히포잔틴, 메티오닌, 리신 및 류신이다. 상기 세리아-기반 CMP 슬러리는 효율적인 고속 작동, 더 용이한 공정 관리 및 패턴 농도에서의 차이로 인한 필름 두께에 있어서 더 작은 변동을 야기한다.
전기적 장치, 특히, 반도체 집적회로 (IC) 의 제조에는 특히 고 선택성 CMP 를 포함하는, 고 정밀 방법이 요구된다.
선행 기술의 세리아-기반 CMP 슬러리는 만족스러운 산화물 대 질화물 선택성을 가질 수 있고, 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이 우수한 전면적 및 국부적 평탄도를 갖는 폴리싱된 웨이퍼를 수득할 수 있지만, IC 구조, 특히 LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 의 끊임없이 감소하는 치수 및 집적회로 장치의 제조에 있어서 끊임없이 증가하는 기술적 및 경제적인 요구를 만족시키기 위하여, 상기 세리아-기반 CMP 슬러리의 지속적인 개선이 요구된다.
하지만, 선행 기술의 세리아-기반 CMP 슬러리를 지속적으로 개선하기 위한 상기 절실한 요구는 집적회로 장치의 분야에만 적용되는 것이 아니라, 상기 폴리싱 및 평탄화 효과는 또한 기타 전기적 장치, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 (micro plant), 태양전지 및 자기 헤드 (magnetic head); 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관 (waveguide), 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기재, 반도체 단결정 및 자기 디스크용 유리 기재를 제조하는 분야에서 개선되어야 한다. 상기와 같은 전기적 및 광학적 장치의 제조에는 고 정밀 CMP 공정 단계가 요구된다.
마찬가지로, 고 정밀 기계적 장치의 제조 또한 고 정밀 CMP 공정 단계가 요구된다.
선행 기술 세리아-기반 CMP 슬러리의 주요 문제점 중 하나는 이들이 미생물 및 균류에 의해 공격받기가 쉽다는 것이다. 따라서, 상기는 박테리아 및 균류의 성장 때문에 보관 시 불안정하게 되고, 상기 성장은 상기 연마제 세리아 입자의 입자 크기 분포에 대하여 유해한 영향을 미쳐, 결국 상기 세리아 입자의 비가역적인 응집 및 침전을 야기하게 된다.
상기 심각한 문제를 개선하기 위하여 살생물제의 첨가가 시도되었다. 하지만, 선행 기술의 살생물제는 또한 예상하지 못한 방식으로 상기 연마제의 입자 크기 분포를 불안정하게 하는 경향이 있다.
N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염, 이의 제조 방법 및 목재 방부제 뿐 아니라 소독제로서 적합한 살균제 및 살진균제로서 및 직물, 플라스틱, 빌딩 물질 또는 페인트 시스템의 마무리 처리를 위한 이의 용도는 독일 특허 출원 DE 38 35 370 A1, 미국 특허 US 5,393,874, 유럽 특허 출원 EP 0 588 249 A1 및 국제 특허 출원 WO 90/01033 에 공지되어 있다. N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염이 폴리싱 조성물, 특히 세리아-기반 CMP 슬러리에서 사용될 수 있다는 것에 대한 언급은 전혀 없다.
발명의 목적
따라서, 본 발명의 목적은 선행 기술의 폴리싱 조성물의 약점 및 문제점을 나타내지 않는, 신규한 수성 폴리싱 조성물, 특히 신규한 화학적 기계적 폴리싱 (CMP) 조성물, 특히 신규한 세리아-기반 CMP 슬러리를 제공하는 것이다.
특히, 상기 신규한 수성 폴리싱 조성물, 특히 상기 신규한 화학적 기계적 폴리싱 (CMP) 조성물, 특히 상기 신규한 세리아-기반 CMP 슬러리는 유의하게 개선된 산화물 대 질화물 선택성을 나타내야 하고, 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이 뛰어난 전면적 및 국부적 평탄도를 갖는 폴리싱된 웨이퍼를 수득해야 한다. 따라서, 이는 IC 구조, 특히 50 nm 미만의 치수를 갖는 구조를 구비한, LSI (대규모 집적) 또는 VLSI (초대규모 집적) 을 갖는 IC 의 제조를 위하여 탁월하게 적합해야 한다.
나아가, 상기 신규한 수성 폴리싱 조성물, 특히 상기 신규한 화학적 기계적 폴리싱 (CMP) 조성물 및 특히 상기 신규한 세리아-기반 CMP 슬러리는 집적회로 장치의 분야에서만 유달리 유용해야 하는 것이 아니라, 또한 기타 전기적 장치, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드; 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기재, 반도체 단결정 및 자기 디스크용 유리 기재의 제조를 위한 분야에서도 가장 효율적으로 및 유리하게 유용해야 한다.
가장 특히는, 상기 신규한 세리아-기반 CMP 슬러리는 미생물 및 균류에 의해 공격받기가 쉽지 않아야 하고, 따라서, 장기간의 보관 중에 박테리아 및 균류 성장 및 상기 연마제 세리아 입자의 입자 크기 분포 불안정화를 나타내지 않아야 한다. 결과적으로, 상기 세리아 입자의 비가역적인 응집 및 침전이 일어나지 않아야 한다.
본 발명의 다른 목적은, N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염에 대한 신규한 용도를 제공하는 것이다.
본 발명의 또 다른 목적은 기계적, 전기적 및 광학적 장치의 기재 물질을 폴리싱하는 신규한 방법을 제공하는 것이다.
발명의 요약
따라서, 신규한 수성 폴리싱 조성물을 발견하였고, 상기 폴리싱 조성물은 하기를 포함한다:
(A) N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염으로 이루어진 군으로부터 선택되는 하나 이상의 수용성 또는 수분산성 화합물; 및
(B) 한 종류 이상의 연마제 입자.
이하, 상기 신규한 수성 폴리싱 조성물은 "본 발명의 조성물" 로서 언급된다.
나아가, 기계적, 전기적 및 광학적 장치의 기재 물질을 폴리싱하는 신규한 방법을 발견하였고, 상기 방법은 본 발명의 조성물을 이용한다.
이하, 상기 기계적, 전기적 및 광학적 장치의 기재 물질을 폴리싱하는 신규한 방법은 "본 발명의 방법" 으로서 언급된다.
마지막으로, 기계적, 전기적 및 광학적 장치의 제조를 위한 N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염의 신규한 용도를 발견하였고, 이는 이하 "본 발명의 용도" 로서 언급된다.
발명의 이점
선행 기술의 관점에서, 본 발명의 목적이 본 발명의 조성물, 본 발명의 방법 및 본 발명의 용도에 의해 해결될 수 있다는 것은, 숙련된 기술자에 의해 예상될 수 없었던 놀라운 일이었다.
본 발명의 조성물이 유의하게 개선된 산화물 대 질화물 선택성을 나타내고, 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이 뛰어난 전면적 및 국부적 평탄도를 갖는 폴리싱된 웨이퍼를 수득한다는 것은 특히 놀라운 일이었다. 따라서, 이는 IC 구조, 특히 50 nm 미만의 치수를 갖는 구조를 구비한, LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 의 제조를 위하여 탁월하게 적합하였다.
나아가, 본 발명의 조성물은 집적회로 장치의 분야에서만 유달리 유용한 것이 아니라, 또한 전기적 장치, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드; 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기재, 반도체 단결정, 및 자기 디스크용 유리 기재의 제조를 위한 분야에서도 가장 효율적으로 및 유리하게 유용하였다.
가장 특히는, 본 발명의 조성물은 미생물 및 균류에 의해 공격받기가 쉽지 않았고, 따라서, 장기간의 보관 중에 박테리아 및 균류 성장 및 상기 연마제 세리아 입자의 입자 크기 분포의 불안정화를 나타내지 않았다. 결과적으로, 상기 세리아 입자의 비가역적인 응집 및 침전이 일어나지 않았다.
따라서, 본 발명의 조성물은 가장 특히는 본 발명의 방법을 위해 유용하였다. 본 발명의 방법은 특히 전기적 장치를 위한 기재 물질, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드; 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치를 위한 기재 물질, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기재, 반도체 단결정 및 자기 디스크용 유리 기재를 폴리싱하는데, 특히 화학적으로 기계적으로 폴리싱하는데 가장 유리하게 사용될 수 있었다.
발명의 상세한 설명
본 발명의 조성물은 수성 조성물이다. 이는 주 용매 및 분산제로서 물, 특히 초순수를 함유하는 것을 의미한다. 그럼에도 불구하고, 본 발명의 조성물은 본 발명의 조성물의 수성 특징을 변화시키지 않는 단지 미량으로, 하나 이상의 수혼화성 유기 용매를 함유할 수 있다.
바람직하게는, 본 발명의 조성물은 본 발명의 조성물의 총 중량을 기반으로한 중량% 로, 60 내지 99.95 중량%, 더욱 바람직하게는 70 내지 99.9 중량%, 보다 더욱 바람직하게는 80 내지 99.9 중량% 및 가장 바람직하게는 90 내지 99.9 중량% 의 양으로 물을 함유한다.
본 발명의 조성물은 제 1 필수 구성성분 또는 성분으로서, N-치환 디아제늄 디옥시드 (A) 및 N'-히드록시-디아제늄 옥시드 염 (A) 으로 이루어진 군으로부터 선택되는 하나 이상의, 바람직하게는 하나의, 수용성 또는 수분산성 화합물을 함유한다.
"수용성" 은 상기 관련 화합물 (A) 가 분자 수준에서 수성 매질 중에 분포된 것을 의미하며, "수분산성" 은 상기가 수성 매질 중에 잘 분산되어, 안정한 현탁액 또는 에멀젼, 바람직하게는 안정한 현탁액을 형성할 수 있는 것을 의미한다. 가장 바람직하게는, 상기 화합물 (A) 는 수용성이다.
바람직하게는, 상기 N-치환 디아제늄 디옥시드 (A) 는 하기 일반식 I 이다:
Figure pct00001
.
상기 일반식 I 에서, 변수 R 은 하나 이상의 헤테로원자 및/또는 하나 이상의 이관능성 또는 삼관능성 연결기를 함유하거나 함유하지 않는, 모노머, 올리고머 및 폴리머성, 치환 및 비치환, 포화 및 불포화 지방족 및 시클로지방족 기 및 하나 이상의 헤테로원자를 함유하거나 함유하지 않는, 모노머, 올리고머 및 폴리머성, 치환 및 비치환 방향족 기로 이루어진 군으로부터 선택되는 하나 이상의 잔기로 이루어져 있거나, 이를 함유하는 부분을 의미한다.
상기 일반식 I 에서, 지수 n 은 1 내지 1000, 바람직하게는 1 내지 500, 더욱 바람직하게는 1 내지 100 및, 보다 더욱 바람직하게는 1 내지 50 및 가장 바람직하게는 1 내지 10 의 수이다.
상기 잔기 R 이 올리고머 또는 폴리머 부분인 경우, 상기 숫자 n 이 반드시 정수이어야 하는 것은 아니며, 분수 (broken number) 일 수도 있다. 이는 올리고머 및 폴리머 부분의 통계적 성질 때문이다. 상기 잔기 R 이 모노머 부분인 경우, 상기 숫자 n 은 통상적으로 정수이다.
결과적으로, 디아제늄 디옥시드기에 대하여, 상기 잔기 R 은 단관능성 또는 다관능성일 수 있는데, 이는 상기 잔기 R 이 하나의 디아제늄 디옥시드기 또는 하나 이상의 디아제늄 디옥시드기를 함유하는 것을 의미한다.
상기 잔기 R 이 하나 이상의 헤테로원자 및/또는 하나 이상의 이관능성 또는 삼관능성 연결기를 함유하는 경우, 상기 디아제늄 디옥시드기는 바람직하게는 상기 잔기 R 의 탄소 원자에 결합된다.
제시된 잔기 R 은 하기에 상세하게 기재되는, 하나의 상기 언급된 부분으로 이루어질 수 있거나, 상기 잔기 R 은 하기에 상세하게 기재되는, 둘 이상의 상기 언급된 부분을 함유할 수 있으며, 상기 기는 서로 상이하고, 하나 이상의 공유 결합 및/또는 하기에 상세하게 기재되는, 하나 이상의 상기 언급된 연결기에 의해 서로 연결될 수 있다.
본 발명의 맥락에서, "모노머(성)" 은 상기 관련 잔기 R 이 단일 특성 구조 단위 또는 이중 특성 구조 단위로 이루어지거나, 이를 함유하는, 모노머 화합물 R' 에서 유래된 것을 의미한다. 바람직하게는, 상기 모노머 화합물 R' 는 40 내지 1000 달톤 (Dalton) 범위의 분자량을 갖는다.
본 발명의 맥락에서, "올리고머(성)" 은 상기 관련 잔기 R 이 3 내지 약 12 개의 특성 반복 구조 단위로 이루어지거나, 이를 함유하는, 올리고머 화합물 R' 에서 유래된 것을 의미한다. 바람직하게는, 상기 올리고머 화합물 R' 는 100 내지 2500 달톤 의 중량 평균 분자량 Mw 을 갖는다.
본 발명의 맥락에서, "폴리머(성)" 은 상기 관련 잔기 R 이 12 개 이상의 특성 반복 구조 단위로 이루어지거나, 이를 함유하는, 폴리머 화합물 R' 에서 유래된 것을 의미한다. 바람직하게는, 상기 폴리머 화합물 R' 는 500 내지 2,000,000 달톤, 더욱 바람직하게는 1000 내지 1,000,000 달톤 및 가장 바람직하게는 5000 내지 500,000 달톤의 중량 평균 분자량 Mw 을 갖는다.
"비(非)치환(된)" 은 -- 하기에 기재되는 헤테로원자를 제외하고 -- 상기 관련 잔기 R 이 단지 탄소 원자 및 수소 원자로만 이루어진 것을 의미한다.
"치환(된)" 은 상기 관련 잔기 R 이 본 발명의 조성물 중 화합물 (A) 의 제조, 취급, 보관 및 사용 조건 하에서, 불활성, 즉, 반응성이 없는 하나 이상의 치환기를 함유하는 것을 의미한다.
적합한 불활성 치환기의 예는 할로겐 원자, 예컨대 불소, 염소 및 브롬, 히드록시기, 카르복실산기, 술폰산기, 포스핀산기, 니트로기 및 니트릴기, 바람직하게는 불소 및 염소 원자 및 니트릴기이다.
"포화(된)" 는 상기 관련 잔기 R 이 임의의 올레핀적으로 또는 아세틸렌적으로 불포화된 기를 함유하지 않는 것을 의미한다. 결과적으로, "불포화(된)" 은 상기 관련 잔기 R 이 하나 이상의 올레핀적으로 및/또는 아세틸렌적으로 불포화된 기를 함유하는 것을 의미한다.
바람직하게는, 상기 헤테로원자는 보론, 산소, 황, 질소, 인 및 규소, 가장 바람직하게는 산소 및 질소로 이루어진 군으로부터 선택된다.
바람직하게는, 상기 이관능성 및 삼관능성 연결기는 상기 언급된 의미에서 불활성이다.
적합한 이관능성 및 삼관능성 연결기의 예는 카르본산 에스테르, 티오카르본산 에스테르, 카르보네이트, 티오카르보네이트, 인산 에스테르, 티오인산 에스테르, 포스핀산 에스테르, 티오포스폰산 에스테르, 포스파이트, 티오포스폰산 에스테르, 술폰산 에스테르, 아미드, 아민, 티오아미드, 인산 아미드, 티오인산 아미드, 포스폰산 아미드, 티오포스폰산 아미드, 술폰산 아미드, 이미드, 히드라지드, 우레탄, 우레아, 티오우레아, 카르보닐, 티오카르보닐, 술폰 및 술폭시드기, 가장 특히는, 카르보네이트, 우레탄, 카르보닐 및 카르본산 에스테르기, 가장 특히 바람직하게는 카르본산 에스테르기이다.
바람직하게는, 상기 포화, 모노머성, 지방족 부분 R 은 선형 또는 분지형 지방족 탄화수소 R', 더욱 바람직하게는 분자 당, 탄소수가 1 내지 20, 보다 더욱 바람직하게는 1 내지 16, 가장 바람직하게는 1 내지 12 및 가장 특히는 바람직하게는 1 내지 4 인 선형 또는 분지형 지방족 탄화수소 R' 에서 유래된 것으로, 특히, 메탄, 에탄, 프로판, 부탄, 이소부탄, 펜탄, 이소펜탄, 네오펜탄, 헥산, 이소헥산, 헵탄, 옥탄, 이소옥탄, 노난, 데칸, 운데칸 및 도데칸, 특히 메탄, 에탄, 프로판, 부탄 및 이소부탄이다.
바람직하게는, 상기 치환된, 포화, 모노머성, 지방족 부분 R 은 선형 또는 분지형 지방족 탄화수소 R', 더욱 바람직하게는, 분자 당, 탄소수가 1 내지 20, 보다 더욱 바람직하게는 1 내지 16, 가장 바람직하게는 1 내지 12 및 가장 특히는 바람직하게는 1 내지 4 이고, 불소 및 염소로 이루어진 군으로부터 선택되는 하나 이상의 할로겐 원자를 갖는 선형 또는 분지형 지방족 탄화수소 R' 에서 유래된 것이다.
특히 적합한 치환된, 포화, 모노머성, 지방족 부분 R 의 예는 플루오로, 클로로, 디플루오로, 디클로로, 클로로플루오로, 트리플루오로, 트리클로로, 디플루오로클로로 및 플루오로디클로로 메탄; 플루오로, 클로로, 1,1- 및 1,2-디플루오로, 1,1- 및 1,2-디클로로, 1-클로로-1-플루오로, 1-클로로-2-플루오로, 1-디플루오로-2-플루오로, 2-트리플루오로, 1-디클로로-2-클로로, 2-트리클로로, 1-디플루오로-2-클로로, 2-디플루오로클로로, 1-플루오로-2-디클로로 및 2-플루오로디클로로 에탄, 플루오로, 클로로, 디플루오로, 디클로로, 트리플루오로, 트리클로로, 테트라플루오로, 테트라클로로, 펜타플루오로, 펜타클로로, 헥사플루오로, 헥사클로로, 헵타플루오로 및 헵타클로로 프로판 뿐 아니라, 혼합된 플루오로클로로 치환 프로판, 플루오로, 클로로, 디플루오로, 디클로로, 트리플루오로, 트리클로로, 테트라플루오로, 테트라클로로, 펜타플루오로, 펜타클로로, 헥사플루오로, 헥사클로로, 헵타플루오로, 헵타클로로, 옥타플루오로, 옥타클로로, 노나플루오로 및 노나클로로 부탄 및 이소부탄 뿐 아니라, 혼합된 플루오로클로로 치환 부탄 및 이소부탄에서 유래된 것이다.
바람직하게는, 상기 하나 이상의 헤테로원자를 갖는, 비치환된, 포화, 모노머성, 지방족 부분 R 은 선형 또는 분지형 지방족 탄화수소 R', 더욱 바람직하게는 분자 당, 탄소수가 2 내지 20, 보다 더욱 바람직하게는 2 내지 16, 가장 바람직하게는, 2 내지 12 및 가장 특히는 바람직하게는 2 내지 6 이고, 2 개의 탄소 원자 사이의 하나 이상의 질소 원자 및/또는 산소 원자를 갖는 선형 또는 분지형 지방족 탄화수소 R' 에서 유래된 것이다.
특히 적합한 하나 이상의 헤테로원자를 갖는, 비치환된, 포화, 모노머성, 지방족 부분 R 의 예는 디메틸 에테르, 메틸에테르 에테르, 디에틸 에테르, 2,4-디옥사펜탄, 2,4-옥사헥산, 3,6-디옥사옥탄, 디메틸 아민, 트리메틸 아민, 디에틸 아민, 트리에틸아민, 디프로필아민 및 2-옥사-4-아자-펜탄에서 유래된 것이다.
바람직하게는, 상기 하나 이상의 헤테로원자를 갖는, 치환된, 포화, 모노머성, 지방족 부분 R 은 선형 또는 분지형 지방족 탄화수소 R', 더욱 바람직하게는 분자 당, 탄소수가 2 내지 20, 보다 더욱 바람직하게는 2 내지 16, 가장 바람직하게는 2 내지 12 및 가장 특히는 바람직하게는 2 내지 6 이고, 2 개의 탄소 원자 사이의 하나 이상의 질소 원자 및/또는 산소 원자 및 하나 이상의 불소 및/또는 염소 원자를 갖는 선형 또는 분지형 지방족 탄화수소 R' 에서 유래된 것이다.
특히 적합한 하나 이상의 헤테로원자를 갖는, 치환된, 포화, 모노머성, 지방족 부분 R 은 디메틸 에테르, 메틸에테르 에테르, 디에틸 에테르, 2,4-디옥사펜탄, 2,4-옥사헥산, 3,6-디옥사옥탄, 디메틸 아민, 트리메틸 아민, 디에틸 아민, 트리에틸아민, 디프로필아민 및 2-옥사-4-아자-펜탄에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 원자 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 하나 이상의 이관능성 또는 삼관능성 연결기를 갖는, 치환된 또는 비치환된, 포화, 모노머성, 지방족 부분 R 은 선형 또는 분지형 지방족 탄화수소 R', 더욱 바람직하게는 분자 당, 탄소수가 2 내지 20, 보다 더욱 바람직하게는 2 내지 16, 가장 바람직하게는 2 내지 12 및 가장 특히는 바람직하게는, 2 내지 6 이고, 하나 이상의 이관능성 또는 삼관능성 연결기를 갖는 선형 또는 분지형 지방족 탄화수소 R' 에서 유래된 것이다. 상기 관련 탄화수소 R' 는 또한 하나 이상의 치환기를 포함할 수 있다.
특히 적합한 치환된 또는 비치환된, 포화, 모노머성, 지방족 부분 R 의 예는 아세톤, 메틸에틸케톤, 디에틸케톤, 메틸부틸케톤, 에틸부틸케톤, 아세틸아세톤, 포름산 메틸, 에틸, 프로필, 부틸 및 펜틸 에스테르, 아세트산 메틸, 에틸, 프로필 및 부틸 에스테르, 프로피온산 메틸, 에틸 및 프로필 에스테르 및 부티르산 메틸 및 에틸 에스테르에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 및/또는 염소 원자로 치환될 수 있다.
바람직하게는, 상기 치환된 또는 비치환된, 포화, 올리고머 또는 폴리머 지방족 부분 R 은 에틸렌, 프로필렌, 부틸렌 및 이소부틸렌의 선형, 분지형, 과분지형, 별모양, 덴드리머형 및 빗모양의 호모폴리머 및 코폴리머에서 유래된 것이다. 상기 코폴리머는 공중합된 고급 올레핀, 예컨대 헥센 및 옥텐을 미량 함유할 수 있다. 상기 호모폴리머 및 코폴리머는 바람직하게는 하나 이상의 불소 및/또는 염소 원자로 치환될 수 있다.
바람직하게는, 상기 하나 이상의 헤테로원자, 특히 하나 이상의 산소 원자를 함유하는, 치환된 또는 비치환된, 포화, 올리고머 또는 폴리머성, 지방족 부분 R 은 알킬렌 이민, 특히, 에틸렌이민, 알킬렌 옥시드, 특히, 에틸렌옥시드, 프로필렌옥시드, 부틸렌 옥시드 및 테트라히드로퓨란 및 비닐 에테르 및 에스테르, 특히 비닐 메틸, 에틸, 프로필 및 부틸 에테르 및 에스테르의 선형, 분지형, 과분지형, 별모양, 덴드리머형 및 빗모양의 호모폴리머 및 코폴리머에서 유래된 것이다. 상기 호모폴리머 및 코폴리머는 또한 상기 기재된 바와 같은 이관능성 또는 삼관능성 연결기를 함유할 수 있다.
바람직하게는, 상기 하나 이상의 이관능성 또는 삼관능성, 바람직하게는 이관능성 연결기를 함유하는, 치환된 또는 비치환된, 포화, 올리고머 또는 폴리머성, 지방족 부분 R 은 선형, 분지형, 과분지형, 별모양, 덴드리머형 및 빗모양 폴리카르보네이트, 폴리우레탄 및 (메트)아크릴레이트(코)폴리머, 특히 폴리메틸 아크릴레이트 및 폴리메틸 메타크릴레이트 PMMA 에서 유래된 것이다. 상기 호모폴리머 및 코폴리머는 바람직하게는 하나 이상의 불소 및/또는 염소 원자로 치환될 수 있다.
바람직하게는, 상기 치환된 또는 비치환된, 불포화, 모노머 및 올리고머성, 지방족 부분 R 은 [Rompp Online 2010, Thieme Chemistry, www.roempp.com, "Terpene", "Sesquiterpene", "Diterpene", and "Triterpene"] 에 기재된 바와 같이, 통상적이며 공지된, 올레핀적으로 또는 아세틸렌적으로 불포화된 모노머, 예컨대 올레핀, 아세틸렌, 아크릴레이트, 메타크릴레이트, 비닐 에스테르, 비닐 에스테르, 알릴 에테르, 알릴 에스테르 및 비환식 모노테르펜, 세스퀴테르펜, 디테르펜 및 트리테르펜에서 유래된 것으로, 여기서 모노머는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
특히 적합한 치환된 또는 비치환된, 불포화, 모노머 및 올리고머성, 지방족 부분 R 의 예는 에틸렌, 프로필렌, 부틸렌, 이소프렌, 아세틸렌, 프로핀, 메틸 및 에틸 아크릴레이트, 메틸 메타크릴레이트, 비닐 에테르 및 에스테르, 특히 비닐 메틸, 에틸, 프로필 및 부틸 에테르 및 에스테르 및 알릴 메틸, 에틸, 프로필 및 부틸 에테르 및 에스테르, 오시멘, 미르센, 시트랄, 알파- 및 베타-조논 및 유사조논이다. 상기 모노머는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 치환된 또는 비치환된, 포화, 시클로지방족 부분 R 은 포화 모노시클릭, 디시클릭, 트리시클릭, 테트라시클릭 탄화수소에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
특히 적합한 치환된 또는 비치환된, 포화, 시클로지방족 부분 R 의 예는 시클로프로판, 시클로부탄, 시클로펜탄, 시클로헥산, 시클로헵탄, 시클로옥탄, 시클로데칸, o-, m- 및 p-멘탄, 멘톤, 카란, 피난, 카론, 보르닐클로라이드, 이소보르닐클로라이드, 캠퍼, 보르난, 노르보르난, 8.9.10-트리노르보르난, 스피로[3.3]헵탄, 스피로바이시클로헥산, 데칼린, 히드로인단, 노르캄판, 바이시클로[2.2.1]옥탄, 아다만탄, 트위스탄 (twistane) 및 콩그레산 (congressane) 으로, 이는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 하나 이상의 헤테로원자를 함유하는 치환된 또는 비치환된, 포화, 시클로지방족 부분 R 은 하나 이상의 헤테로원자를 함유하는, 포화, 모노시클릭, 디시클릭, 트리시클릭, 테트라시클릭 탄화수소에서 유래된 것이로, 여기서 탄화수소는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
특히 적합한 하나 이상의 헤테로원자, 특히 하나 이상의 질소 원자 및/또는 산소 원자를 함유하는, 치환된 또는 비치환된, 포화 시클로지방족 부분 R 의 예는 테트라히드로퓨란, 1,4-디옥산, 감마-부티로락톤, 엡실론-카프로락탐, 모르폴린, 우레티딘, 이소옥사졸리딘, 피롤리딘, 이미다졸린, 피라졸리딘, 피페리딘, 피페라진 및 퀴누클리딘에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 및 특히 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 치환된 또는 비치환된, 불포화 시클로지방족 부분 R 은 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있는, 불포화 모노시클릭, 디시클릭, 트리시클릭, 테트라시클릭 탄화수소에서 유래된 것이다.
특히 적합한 치환된 또는 비치환된, 불포화, 시클로지방족 부분 R 의 예는 시클로프로펜, 시클로부텐, 시클로펜텐, 시클로펜타디엔, 시클로헥사-1,3- 및 -1,2-디엔, 시클로헵텐, 시클로옥텐, 시클로데센, 알파- 및 감마-테르피넨, 테르피놀렌, 알파- 및 베타-펠란드렌, 리모넨, 디펜텐, 풀레곤, 카르본, 카르베논, 알파- 및 베타-피넨, 비사볼렌, 카디넨, 베타-셀리넨, 캄포렌 및 스피로[4.5]데카-1,6-디엔에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 하나 이상의 헤테로원자를 함유하는 치환된 또는 비치환된, 포화, 시클로지방족 부분 R 은 하나 이상의 헤테로원자를 함유하는, 불포화, 모노시클릭, 디시클릭, 트리시클릭, 테트라시클릭 탄화수소에서 유래된 것으로, 여기서 탄화수소는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
특히 적합한 하나 이상의 헤테로원자, 특히 하나 이상의 질소 원자 및/또는 산소 원자를 함유하는, 치환된 또는 비치환된, 불포화 시클로지방족 부분 R 은 2H-피란, 2H-피롤, 델타2-피롤린, 델타2-이미다졸린, 델타3-피라졸, 피롤레닌 및 델타4-이속사조닌에서 유래된 것이로, 여기서 탄화수소는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 치환 및 비치환, 모노머성, 방향족 부분 R 은 모노시클릭 및 폴리시클릭, 방향족 화합물, 특히 벤젠, 바이페닐, 테르페닐, 디페닐에테르, 디페닐아민, 디페닐케톤, 디페닐술피드, 디페닐술폭시드, 디페닐술폰, 나프탈렌, 인단, 플루오란, 플루오레논, 안트라센 및 페난트렌에서 유래된 것이로, 여기서 탄화수소는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 치환 및 비치환, 올리고머 및 폴리머성, 방향족 부분 R 은 올리고머 및 폴리머를 함유하는 방향족 기, 특히 폴리에스테르, 특히 폴리(에틸렌테레프탈레이트) PET 및 폴리(부틸렌테레프탈레이트) PBT, 폴리에테르, 특히 폴리(페닐렌옥시드), 예컨대 폴리(2,6-디메틸페닐렌옥시드) 및 스티렌의 호모폴리머 및 코폴리머에서 유래된 것으로, 여기서 올리고머 및 폴리머는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
바람직하게는, 상기 하나 이상의 헤테로원자를 함유하는, 치환 및 비치환, 모노머성, 방향족 부분 R 은 모노시클릭 및 폴리시클릭 헤테로방향족 화합물, 특히 산소, 황 및/또는 질소-함유 헤테로방향족 화합물에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
특히 적합한 하나 이상의 헤테로원자를 함유하는, 치환 및 비치환, 모노머성, 방향족 부분 R 의 예는 퓨란, 티오펜, 피롤, 이미다졸, 피라졸, 이소티아졸, 이소옥사졸, 트리아졸, 피리딘, 피라진, 피리미딘, 피리다진, 벤조티오펜, 티안트렌 (thianthrene), 이소벤조퓨란, 페녹사틴, 인돌리진, 이소인돌, 인돌, 푸린, 이소퀴놀린, 퀴놀린, 프탈라진, 1,8-나프티리딘, 퀴녹살린, 퀴나졸린, 신놀린, 프테리딘, 카르바졸, 아크리딘 및 페난트리딘에서 유래된 것으로, 이는 바람직하게는 하나 이상의 불소 및/또는 염소 원자 및/또는 니트릴기로 치환될 수 있다.
상기에서 이미 설명한 바와 같이, 상기 기재된 부분 R 은 일반식 I 의 변수 R 을 형성하기 위하여, 임의의 방식으로 서로 결합될 수 있다. 따라서, 예를 들면, 상기 N-치환 디아제늄 디옥시드 (A) 에 보다 높은 수용성을 부여하기 위하여, 벤젠에서 유래된 부분 R 은 에틸렌옥시드의 코폴리머에서 유래된 부분 R 과 에테르기를 통하여 결합될 수 있다.
더욱 바람직하게는, 상기 부분 R 은 모노머성, 포화, 지방족 및 시클로지방족 및 모노머성 방향족 화합물, 보다 더욱 바람직하게는 비치환된, 모노머성, 포화, 지방족 및 시클로지방족 및 비치환된, 모노머성 방향족 화합물, 특히 메탄, 에탄, 프로판, 부탄, 시클로펜탄, 시클로헥산 및 벤젠에서 유래된 것이다.
가장 특히는 바람직하게는, 상기 N-치환 디아제늄 디옥시드 (A) 는 N-메틸-, N-에틸-, N-프로필-, N-부틸, N-시클로헥실- 및 N-페닐-디아제늄 디옥시드로 이루어진 군으로부터 선택된다.
바람직하게는, 상기 N-치환 N'-히드록시-디아제늄 옥시드 염 (A) 는 하기 일반식 II 이다:
Figure pct00002
[식 중, 변수 R 은 상기 언급한 의미를 갖고, 지수 n 및 m 은 둘 모두 1 내지 1000, 바람직하게는 1 내지 500, 더욱 바람직하게는 1 내지 100, 보다 더욱 바람직하게는 1 내지 50 및 가장 바람직하게는 1 내지 10 의 수임].
상기 잔기 R 이 올리고머 또는 폴리머 부분인 경우, 상기 숫자 n 및 m 이 반드시 정수이어야 하는 것은 아니며, 분수일 수도 있다. 이는 상기 올리고머 및 폴리머 부분의 통계적 성질 때문이다. 상기 잔기 R 이 모노머 부분인 경우, 상기 숫자 n 및 m 은 통상적으로 정수이다.
상기 변수 M 은 유기 및 무기, 모노머, 올리고머 및 폴리머성 양이온으로 이루어진 군으로부터 선택되는 양이온을 의미한다.
적합한 모노머 유기 양이온의 예는 1 차, 2 차, 3 차 및 4 차 암모늄 양이온, 1 차, 2 차 및 3 차 포스포늄 양이온 및 1 차 및 2 차 술포늄 양이온, 특히 테트라메틸암모늄 양이온이다.
적합한 올리고머 및 폴리머 양이온의 예는 1 차, 2 차, 3 차 및 4 차 암모늄 양이온, 1 차, 2 차 및 3 차 포스포늄 양이온 및 1 차 및 2 차 술포늄 양이온을 함유하는 올리고머 및 폴리머, 특히 양이온성 폴리에틸렌이민이다.
적합한 무기 양이온의 예는 암모니아, 리튬, 나트륨, 칼륨, 루비듐, 세슘, 마그네슘, 칼슘, 스트론튬, 바륨, 알루미늄, 갈륨, 인듐, 게르마늄, 주석, 납, 안티몬, 비스무트, 스칸듐, 이트륨, 란타눔, 희토류 금속, 티타늄, 지르코늄, 하프늄, 바나듐, 니오븀, 탄탈룸, 크롬, 몰리브덴, 텅스텐, 망간, 레늄, 철, 루테늄, 오스뮴, 코발트, 로듐, 이리듐, 니켈, 팔라듐, 백금, 구리, 은, 금, 아연 및 카드뮴의 양이온, 바람직하게는 암모니아, 리튬, 나트륨 및 칼륨의 양이온이다.
가장 특히는 바람직하게는, 상기 N'-히드록시-디아제늄 디옥시드 염 (A) 는 N-메틸-, N-에틸-, N-프로필-, N-부틸, N-시클로헥실- 및 N-페닐-N'-히드록시-디아제늄 디옥시드 암모늄, 리튬, 나트륨 및 칼륨 염으로 이루어진 군으로부터 선택된다.
본 발명의 조성물에서 상기 N-치환 디아제늄 디옥시드 (A) 및/또는 N'-히드록시-디아제늄 옥시드 염 (A) 의 농도는 광범위하게 달라질 수 있고, 따라서 본 발명의 특정 조성물, 방법 및 용도에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 본 발명의 조성물은 이의 총 중량을 기준으로, 화합물 (A) 를 0.01 내지 1000 ppm, 더욱 바람직하게는 0.05 내지 750 ppm, 보다 더욱 바람직하게는 0.075 내지 500 ppm 및 가장 바람직하게는 0.1 내지 500 ppm 으로 함유한다.
본 발명의 조성물의 제 2 필수 구성성분는 한 종류 이상의 연마제 입자 (B) 이다.
이론상으로는, 폴리싱, 특히, 화학적 기계적 폴리싱 또는 평탄화 (CMP) 의 분야에서 통상적으로 사용되는, 임의의 천연 또는 합성 연마제 미립자 물질이 구성성분 (B) 로서 사용될 수 있다. 바람직하게는, 상기 연마제 입자 (B) 는 알루미나, 실리카, 질화규소, 탄화규소, 티타니아, 지르코니아, 세리아, 산화아연 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
상기 연마제 입자 (B) 의 평균 입자 크기는 광범위하게 달라질 수 있고, 따라서, 본 발명의 조성물, 방법 및 용도의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 동적 레이저 광 산란 (dynamic laser light scattering) 에 의해 측정되는 바로서, 상기 평균 입자 크기는 1 내지 2000 nm, 바람직하게는 1 내지 1000 nm, 더욱 바람직하게는 1 내지 750 및 가장 바람직하게는 1 내지 500 nm 의 범위이다.
가장 바람직하게는, 상기 연마제 입자 (B) 는 세리아로 이루어져 있거나, 이를 함유한다.
세리아를 함유하는 연마제 입자 (B) 는 미량의 기타 희토류 금속 산화물을 함유할 수 있다.
바람직하게는, 상기 세리아를 함유하는 연마제 입자 (B) 는 세리아와 상이한 하나 이상의 기타 연마제 미립자 물질, 특히 알루미나, 실리카, 티타니아, 지르코니아, 산화아연 및 이들의 혼합물로 이루어져 있거나, 이를 함유하는 코어를 포함하는 복합체 입자 (B) 이다.
상기와 같은 복합체 입자 (B) 는 예를 들어, WO 2005/035688 A1, US 6,110,396, US 6,238,469 B1, US 6,645,265 B1, [K. S. Choi et al., Mat. Res. Soc. Symp. Proc. Vol. 671, 2001 Material Research Society, M5.8.1 - M5.8.10], [S.-H. Lee et al., J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 - 2749], [A. Jindal et al., Journal of the Electrochemical Society, 150 (5) G314-G318 (2003)], [Z. Lu, Journal of Material Research, Vol. 18, No. 10, October 2003, Material Research Society] 또는 [S. Hedge et al., Electrochemical and Solid-State Letters, 7 (12) G316-G318 (2004)] 에 공지되어 있다.
가장 바람직하게는, 상기 복합체 입자 (B) 는 20 내지 100 nm 의 코어 크기를 갖는, 알루미나, 실리카, 티타니아, 지르코니아, 산화아연 및 이들의 혼합물로 이루어진 군으로부터 선택되는 코어를 포함하는 라즈베리형 (raspberry-type) 코팅된 입자이며, 여기서 상기 코어는 10 nm 미만의 입자 크기를 갖는 세리아 입자로 코팅된다.
본 발명의 조성물에서 사용되는 연마제 입자 (B) 의 양은 광범위하게 달라질 수 있고, 따라서 본 발명의 조성물, 방법 및 용도의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 본 발명의 조성물은 상기 연마제 입자 (B) 를 0.005 내지 10 중량%, 더욱 바람직하게는 0.01 내지 8 중량% 및 가장 바람직하게는 0.01 내지 6 중량% 로 함유하고, 여기서 중량% 는 본 발명의 조성물의 총 중량을 기반으로 한다.
본 발명의 조성물은 구성성분 또는 성분 (A) 및 (B) 와 상이한, 하나 이상의 기능 성분 (C) 를 함유할 수 있다.
바람직하게는, 상기 기능 성분 (C) 는 세리아-기반 CMP 슬러리에 통상적으로 사용되는 화합물의 군으로부터 선택된다. 상기 화합물 (C) 의 예는 초반에 기재되었고, 예를 들어, [Y. N. Prasad et al., Electrochemical and Solid-State Letters, 9 (12) G337-G339 (2006)], [Hyun-Goo Kang et al., Journal of Material Research, volume 22, No. 3, 2007, pages 777 - 787], [S. Kim et al., Journal of Colloid and Interface Science, 319 (2008), pages 48 - 52], [S. V. Babu et al., Electrochemical and Solid-State Letters, 7 (12) G327-G330 (2004)], [Jae-Dong Lee et al., Journal of the Electrochemical Society, 149 (8) G477-G481, 2002], 미국 특허 US 5,738,800, US 6,042, 741, US 6,132,637, US 6,218,305 B, US 5,759,917, US 6,689,692 B1, US 6,984,588 B2, US 6,299,659 B1, US 6,626,968 B2, US 6,436,835 B1, US 6,491,843 B1, US 6,544,892 B2, US 6,627,107 B2, US 6,616,514 B1 및 US 7,071,105 B2, 미국 특허 출원 US 2002/0034875 A1, US 2006/0144824 A1, US 2006/0207188 A1, US 2006/0216935 A1, US 2007/0077865 A1, US 2007/0175104 A1, US 2007/0191244 A1 및 US 2007/0218811 A1 및 일본 특허 출원 JP 2005-336400 A 에 개시되어 있다.
나아가, 상기 기능 성분 (C) 는 상기 입자 (B) 와 상이한, 유기, 무기 및 혼성 유기-무기 연마제 입자, 하부 임계 용해 온도 LCST 또는 상부 임계 용해 온도 UCST 를 갖는 물질, 산화제, 부동태화제 (passivating agent), 전하 역전제 (charge reversal agent), 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 유기 폴리올, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 하나 이상의 모노머로부터 형성되는 올리고머 및 폴리머, 착화제 또는 킬레이트제, 마찰제, 안정화제, 레올로지제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군으로부터 선택된다.
적합한 유기 연마제 입자 (C) 및 이의 유효량은, 예를 들어, 미국 특허 출원 US 2008/0254628 A1, page 4, paragraph [0054] 또는 국제 특허 출원 WO 2005/014753 A1 에 공지되어 있고, 여기에는 멜라민 및 멜라민 유도체, 예컨대 아세토구아나민, 벤조구아나민 및 디시안디아미드로 이루어진 고체 입자가 개시되어 있다.
적합한 무기 연마제 입자 (C) 및 이의 유효량은, 예를 들어, 국제 특허 출원 WO 2005/014753 A1, page 12, lines 1 - 8 또는 미국 특허 US 6,068,787, column 6, line 41 - column 7, line 65 에 공지되어 있다.
적합한 혼성 유기-무기 연마제 입자 (C) 및 이의 유효량은, 예를 들어, 미국 특허 출원 US 2008/0254628 A1, page 4, paragraph [0054] 또는 US 2009/0013609 A1, page 3, paragraph [0047] - page 6, paragraph [0087] 에 공지되어 있다.
적합한 산화제 (C) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, page 8, paragraphs [0074] - [0075] 또는 미국 특허 US 6,068,787, column 4, line 40 - column 7, line 45 또는 US 7,300,601 B2, column 4, lines 18 - 34 에 공지되어 있다. 바람직하게는, 유기 및 무기 과산화물, 더욱 바람직하게는 무기 과산화물이 사용된다. 특히, 과산화수소가 사용된다.
적합한 부동태화제 (C) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,300,601 B2, column 3, line 59 - column 4, line 9 또는 미국 특허 출원 US 2008/0254628 A1, paragraph [0058], pages 4 - 5 에 공지되어 있다.
또한 때때로 마찰제 (참조, 미국 특허 출원 US 2008/0254628 A1, page 5, paragraph [0061]) 또는 에칭제 또는 부식액 (참조, 미국 특허 출원 US 2008/0254628 A1, page 4, paragrap [0054]) 으로서 언급되는 적합한 착화제 또는 킬레이트제 (C) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,300,601 B2, column 4, lines 35 - 48 에 공지되어 있다. 상기 아미노산, 특히 글리신, 및 나아가, 하나 이상, 바람직하게는 2 개 및 더욱 바람직하게는 3 개의 1 차 아미노기를 함유하는, 디시안디아미드 및 트리아진, 예컨대 멜라민 및 수용성 구아나민, 특히 멜라민, 포르모구아나민, 아세토구아나민 및 2,4-디아미노-6-에틸-1,3,5-트리아진이 가장 특히 바람직하게 사용된다.
적합한 안정화제 (C) 및 이의 유효량은, 예를 들어, 미국 특허 US 6,068,787, column 8, lines 4 - 56 에 공지되어 있다.
적합한 레올로지제 (C) 및 이의 유효량은, 예를 들어, 미국 특허 출원 US 2008/0254628 A1, page 5, paragraph [0065] - page 6, paragraph [0069] 에 공지되어 있다.
적합한 계면활성제 (C) 및 이의 유효량은, 예를 들어, 국제 특허 출원 WO 2005/014753 A1, page 8, line 23 - page 10, line 17 또는 미국 특허 US 7,300,601 B2, column 5, line 4 - column 6, line 8 에 공지되어 있다
적합한 다가 금속 이온 (C) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, page 8, paragraph [0076] - page 9, paragraph [0078] 에 공지되어 있다.
적합한 유기 용매 (C) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,361,603 B2, column 7, lines 32 - 48 또는 미국 특허 출원 US 2008/0254628 A1, page 5, paragraph [0059] 에 공지되어 있다.
적합한 하부 임계 용해 온도 LCST 또는 상부 임계 용해 온도 UCST 를 나타내는 물질 (C) 는, 예를 들어, [H. Mori, H. Iwaya, A. Nagai and T. Endo, Controlled synthesis of thermoresponsive polyners derived from L-proline via RAFT polymerization, in Chemical Communication, 2005, 4872-4874]; [D. Schmaljohann, Thermo- and pH-responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655-1670] 또는 미국 특허 출원 US 2002/0198328 A1, US 2004/0209095 A1, US 2004/0217009 A1, US 2006/0141254 A1, US 2007/0029198 A1, US 2007/0289875 A1, US 2008/0249210 A1, US 2008/0050435 A1 또는 US 2009/0013609 A1, 미국 특허 US 5,057,560, US 5,788,82 및 US6,682,642 B2, 국제 특허 출원 WO 01/60926 A1, WO2004/029160 A1, WO 2004/0521946 A1, WO 2006/093242 A2 또는 WO 2007/012763 A1, 유럽 특허 출원 EP 0 583 814 A1, EP 1 197 587 B1 및 EP 1 942 179 A1 또는 독일 특허 출원 DE 26 10 705 에 기재되어 있거나; 이는 상표명 Pluronic™, Tetronic™ 및 Basensol™ 으로 BASF Corporation 및 BASF SE 사에서 판매된다 (BASF Corporation 의 회사 책자 "Pluronic™ & Tetronic™ Block Copolymer Surfactants, 1996" 또는 미국 특허 US 2006/0213780 A1 에 의해 입증됨).
첫 번째의 유리하고 바람직한 구현예에서, 본 발명의 조성물은 하나 이상의 전하 역전제 (C) 를 함유한다.
이론상으로는, CMP 의 분야에서 통상적으로 사용되는 임의의 공지된 전하 역전제 (C) 가 사용될 수 있다. 바람직하게는, 상기 전하 역전제 (C) 는 카르복실레이트, 술피네이트, 술페이트, 포스포네이트 및 포스페이트기로 이루어진 군으로부터 선택되는, 하나 이상의 음이온성기를 함유하는, 모노머, 올리고머 및 폴리머성 화합물로 이루어진 군으로부터 선택된다. 특히 적합한 전하 역전제 (C) 의 예는, 예를 들어, 미국 특허 US 7,2065,055 B2, column 4, lines 24 - 45 또는 일본 특허 출원 JP 2005-336400 A (참조, 청구항 1 내지 6) 에 기재되어 있다.
본 발명의 조성물에서 상기 전하 역전제 (C) 의 농도는 광범위하게 달라질 수 있고, 따라서, 제시된 본 발명의 조성물, 방법 및 용도의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 상기 전하 역전제 (C) 는 세리아 대 전하 역전제 (C) 의 중량비가 10 대 2000 및 더욱 바람직하게는 20 대 1000 이 되도록 하는 양으로 사용된다.
두 번째의 유리하고 바람직한 구현예에서, 본 발명의 조성물은 하나 이상의 유기 폴리올 (C) 및 더욱 바람직하게는, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 둘 이상의 유기 폴리올 (C) 및/또는 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 하나 이상의 모노머로부터 형성되는 올리고머 및 폴리머를 함유한다.
더욱 바람직하게는, 상기 유기 폴리올 또는 폴리올 (C) 는 단당류, 이당류, 올리고당류, 다당류, 디옥시당 (desoxy sugar), 아미노당, 알돈산, 케토알돈산, 우론산, 알다르산, 당알코올 및 시클리톨, 보다 더욱 바람직하게는, 단당류 및 시클리톨 및 특히 바람직하게는 갈락토오스 및 미오-, 실로-, 뮤코-, 카이로-, 네오-, 알로-, 에피- 및 시스-이노시톨로 이루어진 군으로부터 선택된다. 가장 바람직하게는, 갈락토오스 및 미오-이노시톨이 유기 폴리올 (C) 로서 사용된다.
본 발명의 조성물에서 상기 유기 폴리올 (C) 의 농도는 광범위하게 달라질 수 있고, 따라서, 본 발명의 조성물, 방법 및 용도의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 본 발명의 조성물은 본 발명의 조성물의 총 중량을 기준으로 한 중량% 로, 유기 폴리올 (C) 를 0.001 내지 5 중량%, 더욱 바람직하게는 0.005 내지 4 중량%, 보다 더욱 바람직하게는 0.01 내지 2 중량% 및 가장 바람직하게는 0.01 내지 1 중량% 양으로 함유한다.
세 번째로 가장 유리하고 가장 바람직한 구현예에서, 본 발명의 조성물은 상기 기재된 전하 역전제 (C) 및 유기 폴리올 (C) 를 함유한다.
존재하는 경우, 상기 기능 성분 (C) 는 다양한 양으로 함유될 수 있다. 바람직하게는, 상기 (C) 의 총량은 해당 CMP 조성물의 총 중량을 기준으로, 10 중량% 이하 (중량% 는 중량에 의한 백분률을 의미함), 더욱 바람직하게는 2 중량% 이하, 가장 바람직하게는 0.5 중량% 이하, 특히 0.1 중량% 이하, 예를 들어 0.01 중량% 이하이다. 바람직하게는, 상기 (C) 의 총량은 해당 조성물의 총 중량을 기준으로, 0.0001 중량% 이상, 더욱 바람직하게는 0.001 중량% 이상, 가장 바람직하게는 0.008 중량% 이상, 특히 0.05 중량% 이상, 예를 들어 0.3 중량% 이상이다.
본 발명의 조성물은 임의로 상기 구성성분 (A) 및 (B) 와 실질적으로 상이한, 하나 이상의 pH-조절제 또는 완충제 (D) 를 함유할 수 있다.
적합한 pH-조절제 또는 완충제 (D) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, page 8, paragraphs [0080], [0085] - [0086], 국제 특허 출원 WO 2005/014753 A1, page 12, lines 19 - 24, 미국 특허 출원 US 2008/0254628 A1, page 6, paragraph [0073] 또는 미국 특허 US 7,300,601 B2, column 5, lines 33 - 63 에 공지되어 있다. pH-조절제 또는 완충제 (D) 의 예는 수산화칼륨, 수산화암모늄, 테트라메틸수산화암모늄 (TMAH), 질산 및 황산이다.
존재하는 경우, 상기 pH-조절제 또는 완충제 (D) 는 다양한 양으로 함유될 수 있다. 바람직하게는, 상기 (D) 의 총량은 해당 CMP 조성물의 총 중량을 기준으로, 20 중량% 이하, 더욱 바람직하게는 7 중량% 이하, 가장 바람직하게는 2 중량% 이하, 특히 0.5 중량% 이하, 예를 들어 0.1 중량% 이하이다. 바람직하게는, 상기 (D) 의 총량은 해당 조성물의 총 중량을 기준으로, 0.001 중량% 이상, 더욱 바람직하게는 0.01 중량% 이상, 가장 바람직하게는 0.05 중량% 이상, 특히 0.1 중량% 이상, 예를 들어 0.5 중량% 이상이다.
바람직하게는, 본 발명의 조성물의 pH 는 바람직하게는 상기 언급한 pH-조절제 (D) 를 사용하여 3 내지 10, 더욱 바람직하게는 4 내지 8, 보다 더욱 바람직하게는 4 내지 7, 및 가장 바람직하게는 5 내지 7 로 설정된다.
본 발명의 조성물의 제조는 임의의 특이성이 있는 것이 아니라, 상기 기재된 구성성분 (A) 및 (B) 및 임의로 (C) 및/또는 (D) 를 수성 매질, 특히, 탈이온수 중에서 용해 또는 분산시킴에 의해 수행될 수 있다. 상기 목적을 위하여, 통상적이고, 표준화된 혼합 공정 및 혼합 장치, 예컨대 교반 반응기, 인-라인 용해기, 고 전단 압축기, 초음파 혼합기, 균질기 노즐 또는 역류 혼합기가 사용될 수 있다. 바람직하게는, 상기와 같이 수득된 본 발명의 조성물은 조립질의 입자, 예컨대 고체의 덩어리 또는 집합체 및 미세하게 분산된 연마제 입자 (B) 를 제거하기 위하여, 적당한 체 구멍 (mesh aperture) 을 갖는 여과기를 통해 여과될 수 있다.
가장 놀랍게는, 상기 N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염 (A) 는 본 발명의 용도, 즉, 기계적, 전기적 및 광학적 장치의 제조를 위해 가장 탁월하게 적합하다.
특히, 상기 전기적 장치는 집적회로 장치, 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드이고; 상기 기계적 장치는 고 정밀 기계적 장치이며; 상기 광학적 장치는 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기재, 반도체 단결정 및 자기 디스크용 유리 기재이다.
더욱 바람직하게는, 상기 N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염 (A) 및 이들이 함유된 본 발명의 조성물은 특히, 50 nm 미만의 치수를 갖는 구조를 구비한, 대규모 집적 또는 초대규모 집적의 집적회로를 함유하는, 집적회로 장치의 제조를 위해 사용된다.
가장 바람직하게는, 본 발명의 조성물은 본 발명의 방법에 탁월하게 적합하다.
본 발명의 방법에서, 전기적, 기계적 및 광학적 장치, 특히, 전기적 장치, 가장 바람직하게는, 집적회로 장치를 위한 기재 물질은 본 발명의 조성물과 한 번 이상 접촉되고, 목적하는 평탄도가 달성될 때까지 폴리싱, 특히, 화학적으로 및 기계적으로 폴리싱된다.
본 발명의 공정은 저-k (low-k, 저 유전율) 또는 초저-k (ultra-low-k, 초저 유전율) 물질 및 질화규소 층 및/또는 폴리실리콘 층으로 이루어진 분리 층을 갖는, 규소 반도체 웨이퍼의 CMP 에서 특별한 이점을 나타낸다.
적합한 저-k 또는 초저-k 물질 및 적합한 절연 유전체 층의 제조 방법은, 예를 들어, 미국 특허 출원 US 2005/0176259 A1, page 2, paragraphs [0025] - [0027], US 2005/0014667 A1, page 1, paragraph [0003], US 2005/0266683 A1, page 1, paragraph [0003] & page 2, paragraph [0024] 또는 US 2008/0280452 A1, paragraphs [0024] - [0026] 또는 미국 특허 US 7,250,391 B2, column 1, lines 49 - 54 또는 유럽 특허 출원 EP 1 306 415 A2, page 4, paragraph [0031] 에 기재되어 있다.
본 발명의 방법은 패턴화된 웨이퍼 기재 상에서 질화규소에 대한 이산화규소의 선택적인 제거가 요구되는, 섈로우 트렌치 아이솔레이션 (STI) 을 위해 특히 적합하다. 상기 공정에서, 에칭된 트렌치는 유전체 물질, 예컨대, 이산화규소로 과도 충전되고, 이는 정지층으로서 질화규소 장벽 필름을 사용하여 폴리싱된다. 상기의 바람직한 구현예, 본 발명의 방법은 노출된 질화규소 및 트렌치 산화규소의 제거를 최소화하면서, 상기 장벽 필름으로부터 이산화규소의 제거함에 의해 마무리된다.
본 발명의 방법은 특별한 것이 아니라, IC 를 포함하는 반도체 웨이퍼의 제조에서, CMP 를 위해 통상적으로 사용되는 공정 및 장비를 이용하여 수행될 수 있다.
당업계에 공지된 바와 같이, CMP 를 위한 통상적인 장비는 폴리싱 패드로 커버된 회전하는 플래턴 (platen) 으로 이루어져 있다. 상기 웨이퍼는 상기 폴리싱 패드와 거꾸로 마주하도록 캐리어 또는 척 (chuck) 상에 놓여 있다. 상기 캐리어는 상기 웨이퍼를 수평 위치에서 단단히 고정시킨다. 폴리싱 및 보유 장치의 상기 특정한 배치는 또한 경질-플래턴 (hard-platen) 디자인으로서 공지되어 있다. 상기 캐리어는 상기 보유된 캐리어의 표면 및 폴리싱되지 않은 웨이퍼의 표면 사이에 있는 캐리어 패드를 보유할 수 있다. 상기 패드는 상기 웨이퍼를 위한 쿠션으로서 작동할 수 있다.
상기 캐리어 아래에, 더 큰 직경의 플래턴이 또한 일반적으로 수평으로 위치되어 있고, 폴리싱될 웨이퍼에 평행한 표면이 존재한다. 상기 폴리싱 패드는 평탄화 공정 중에 상기 웨이퍼 표면과 접촉한다. 본 발명의 CMP 공정 중에, 본 발명의 조성물은 연속 스트림으로서 또는 점적 방식으로 상기 폴리싱 패드에 적용된다.
상기 캐리어 및 상기 플래턴은 모두 상기 캐리어 및 상기 플래턴으로부터 수직으로 확장되는 이들 각각의 샤프트 (shaft) 주위를 회전하도록 야기된다. 상기 회전하는 캐리어 샤프트는 상기 회전하는 플래턴에 대한 위치에서 고정된 상태를 유지할 수 있거나, 상기 플래턴에 대하여 수평으로 왔다갔다 진동할 수 있다. 상기 캐리어의 회전 방향은 통상적으로, 반드시는 아니지만, 상기 플래턴과 같은 방향이다. 상기 캐리어 및 상기 플래턴의 회전 속도는 일반적으로, 반드시는 아니지만, 상이한 값으로 설정한다.
통상적으로, 상기 플래턴의 온도는 10 내지 70 ℃ 의 온도로 설정된다.
보다 상세한 설명은 국제 특허 출원 WO 2004/063301 A1, 특히 page 16, paragraph [0036] - page 18, paragraph [0040] 에, 도 1 과 함께 언급되어 있다.
본 발명의 방법으로, 뛰어난 평탄도를 갖는, 패턴화된 저-k 및 초저-k 물질층, 특히 이산화규소 층을 포함하는, IC 를 포함한 반도체 웨이퍼가 수득될 수 있다. 따라서, 구리 다마신 (damascene) 패턴은 뛰어난 평탄도 및, 마무리된 IC 에서, 뛰어난 전기적 기능성을 갖는 것으로 수득될 수 있다.
실시예
N-시클로헥실-N'-히드록시-디아제늄 디옥시드 칼륨 염을 함유하는 조성물 1 내지 3 (실시예 1 내지 3) 및 조성물 C1 및 C2 (비교 실험 C1 및 C2) 의 제조
실시예 1 내지 3 및 비교 실험 C1 및 C2 를 위하여, 조성물 1 내지 3 및, C1 및 C2 를 초순수 탈이온수 중에 구성성분을 용해 및 분산함에 의해 제조하였다. 표 1 에 사용된 상기 구성성분의 양을 나타내었다.
Figure pct00003
실시예 4 내지 6 및 비교 실험 C3 및 C4
N-시클로헥실-N'-히드록시-디아제늄 디옥시드 칼륨 염을 함유하는 조성물 (실시예 4 내지 6) 및 상기 염을 함유하지 않은 조성물 (비교 실험 C3 및 C4) 의 질화규소에 대한 산화규소 선택성
상기 실시예 1 의 조성물 1 을 실시예 4 를 위해 사용하였고, 상기 실시예 2 의 조성물 2 를 실시예 5 를 위해 사용하였으며, 상기 실시예 3 의 조성물 3 을 실시예 6 을 위해 사용하였다.
상기 비교 실험 C1 의 조성물 C1 을 비교 실험 C3 을 위해 사용하였고, 상기 비교 실험 C2 의 조성물 C2 를 비교 실험 C4 를 위해 사용하였다.
질화규소에 대한 산화규소 선택성을 결정하기 위하여, 산화물 층 또는 질화규소 층을 함유하는 규소 웨이퍼를 실시예 4 내지 6 및 상기 비교 실험 C3 및 C4 에서 사용하였다.
상기 폴리싱 속도 (즉, 물질 제거 속도, MRR) 를 중량의 차이에 의해 결정하였다. 이와 관련하여, 열적 이산화규소의 농도로서 1.9 kg/L 를 사용하였고, Sartorius LA310 S 저울 또는 Filmmetrics F50 반사계에 의한, CMP 전 또는 후에 상기 웨이퍼의 MRR 를 계산하기 위한 질화규소의 농도로서 3.44 kg/L 를 사용하였다. 상기 폴리싱 실험은 Strasbaugh nSpire (Model 6EC), 하기 매개변수를 갖는 ViPRR 유동적 리테이닝 링 (retaining ring) 캐리어를 이용하여 수행하였다:
- 하강 압력: 3.5 psi (240 mbar);
- 후면 압력: 0.5 psi (34.5 mbar);
- 리테이닝 링 압력: 2.5 psi (172 mbar);
- 폴리싱 테이블 / 캐리어 속도: 95 / 85 rpm;
- 슬러리 유속: 200 ml / 분;
- 폴리싱 시간: 60 초;
- 패드 조건: 인-시투 (in situ) (9.2 - 9.0 Ibs, 41 N);
- 폴리싱 패드: IC1000 A2 중첩된 패드, xy k grooved (R&H);
- 지지 필름: Strasbaugh, DF200 (136 개의 홀);
- 컨디셔닝 디스크 (conditioning disk): Strasbaugh sasol.
표 2 에는 수득된 MRR 및 계산된 질화규소에 대한 산화규소 선택성에 관한 개요를 제시하였다.
Figure pct00004
표 2 에 제시된 결과는 질화규소에 대한 산화규소 선택성은 특히, 단당류 또는 단당류 및 시클리톨과의 조합으로, N-치환 N'-히드록시-디아제늄 디옥시드 염의 사용에 의해 유의하게 증가될 수 있다는 것을 명백하게 한다.
N-치환 N'-히드록시-디아제늄 옥시드 염을 함유하지는 않지만 단당류를 함유하는 조성물 C2 는 비교적 높은 질화규소에 대한 산화규소 선택성을 나타내었지만, 상기 조성물 C2 는 보관 시 박테리아 및 균류에 의해 공격을 받았다.

Claims (18)

  1. (A) N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염으로 이루어진 군으로부터 선택되는 하나 이상의 수용성 또는 수분산성 화합물; 및
    (B) 한 종류 이상의 연마제 입자를 포함하는, 수성 폴리싱 조성물.
  2. 제 1 항에 있어서,
    상기 N-치환 디아제늄 디옥시드 (A) 는 일반식 I 이고,
    Figure pct00005

    [식 중, 변수 R 은 하나 이상의 헤테로원자 및/또는 하나 이상의 이관능성 또는 삼관능성 연결기를 함유하거나 함유하지 않는, 모노머, 올리고머 및 폴리머성, 치환 및 비치환, 포화 및 불포화 지방족 및 시클로지방족 기 및 하나 이상의 헤테로원자를 함유하거나 함유하지 않는, 모노머, 올리고머 및 폴리머성 치환 및 비치환 방향족 기로 이루어진 군으로부터 선택되는 하나 이상의 잔기로 이루어지거나 이를 함유하는 부분을 의미하고; 지수 n 은 1 내지 1000 의 수임];
    상기 N-치환 N'-히드록시-디아제늄 옥시드 염 (A) 은 일반식 I 인 것을 특징으로 하는 수성 폴리싱 조성물:
    Figure pct00006

    [식 중, 변수 R 은 상기 언급한 의미를 갖고, M 은 유기 및 무기, 모노머, 올리고머 및 폴리머성 양이온으로 이루어진 군으로부터 선택되고, 지수 n 및 m 은 모두 1 내지 2000 의 수임].
  3. 제 2 항에 있어서, n 및 m 은 모두 1 내지 10 의 정수인 것을 특징으로 하는 수성 폴리싱 조성물.
  4. 제 3 항에 있어서, 상기 폴리싱 조성물의 총 중량을 기준으로, 상기 화합물 (A) 를 0.01 내지 1000 ppm 으로 함유하는 것을 특징으로 하는 수성 폴리싱 조성물.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 연마제 입자 (B) 는 알루미나, 실리카, 질화규소, 탄화규소, 티타니아, 지르코니아, 세리아, 산화아연 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것을 특징으로 하는 수성 폴리싱 조성물.
  6. 제 5 항에 있어서, 상기 연마제 입자 (B) 는 세리아로 이루어져 있거나, 이를 함유하는 것을 특징으로 하는 수성 폴리싱 조성물.
  7. 제 5 항 또는 제 6 항에 있어서, 상기 연마제 입자 (B) 는 동적 레이저 광 산란에 의해 측정되는 바로서, 1 내지 1000 nm 의 평균 입자 직경을 갖는 것을 특징으로 하는 수성 폴리싱 조성물.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서, 상기 폴리싱 조성물의 총 중량을 기준으로, 상기 연마제 입자 (B) 를 0.005 내지 10 중량% 로 함유하는 것을 특징으로 하는 수성 폴리싱 조성물.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서, 상기 성분 (A) 및 (B) 와 상이한 하나 이상의 기능 성분 (C) 를 함유하는 것을 특징으로 하는 수성 폴리싱 조성물.
  10. 제 9 항에 있어서, 상기 기능 성분 (C) 는 상기 입자 (B) 와 상이한 유기, 무기 및 혼성 유기-무기 연마제 입자, 하부 임계 용해 온도 LCST 또는 상부 임계 용해 온도 UCST 를 갖는 물질, 산화제, 부동태화제, 전하 역전제, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 유기 폴리올, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 하나 이상의 모노머로부터 형성되는 올리고머 및 폴리머, 착화제 또는 킬레이트제, 마찰제, 안정화제, 레올로지제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군으로부터 선택되는 것을 특징으로 하는 수성 폴리싱 조성물.
  11. 제 10 항에 있어서, 상기 전하 역전제 (C) 는 카르복실레이트, 술피네이트, 술페이트, 포스포네이트 및 포스페이트 기로 이루어진 군으로부터 선택되는 하나 이상의 음이온성 기를 함유하는 모노머, 올리고머 및 폴리머성 화합물로 이루어진 군으로부터 선택되고; 상기 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 유기 폴리올, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록시드기를 갖는 하나 이상의 모노머로부터 형성되는 올리고머 및 폴리머는 단당류, 이당류, 올리고당류, 다당류, 디옥시당, 아미노당, 알돈산, 케토알돈산, 우론산, 알다르산, 당알코올 및 시클리톨로 이루어진 군으로부터 선택되는 것을 특징으로 하는 수성 폴리싱 조성물.
  12. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서, 상기 성분 (A) 및 (B) 와 상이한 하나 이상의 pH-조절제 또는 완충제 (D) 를 함유하는 것을 특징으로 하는 수성 폴리싱 조성물.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서, pH 값이 3 내지 10 인 것을 특징으로 하는 수성 폴리싱 조성물.
  14. 기재 물질을 수성 폴리싱 조성물과 한 번 이상 접촉시키고, 목적하는 평탄도를 달성할 때까지 상기 기재 물질을 폴리싱함에 의한 전기적, 기계적 및 광학적 장치를 위한 기재 물질을 폴리싱하는 방법으로, 상기 제 1 항 내지 제 13 항 중 어느 한 항에 따른 수성 폴리싱 조성물이 사용되는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 상기 기재 물질은 하나 이상의 유전체 물질로 이루어져 있거나, 이를 함유하는 하나 이상의 층을 포함하는 것을 특징으로 하는 방법.
  16. 기계적, 전기적 및 광학적 장치의 제조를 위한 N-치환 디아제늄 디옥시드 및 N'-히드록시-디아제늄 옥시드 염의 용도.
  17. 제 16 항에 있어서, 상기 전기적 장치는 집적회로 장치, 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드이고; 상기 기계적 장치는 고 정밀 기계적 장치이며; 상기 광학적 장치는 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기재, 반도체 단결정 및 자기 디스크용 유리 기재인 것을 특징으로 하는 용도.
  18. 제 17 항에 있어서, 상기 집적회로 장치는 50 nm 미만의 치수를 갖는 구조를 구비한, 대규모 집적 또는 초대규모 집적을 포함하는 집적회로를 함유하는 것을 특징으로 하는 용도.
KR1020137008945A 2010-09-08 2011-09-06 N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물 KR101967134B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072210P 2010-09-08 2010-09-08
US61/380,722 2010-09-08
PCT/IB2011/053891 WO2012032466A1 (en) 2010-09-08 2011-09-06 Aqueous polishing compositions containing n-substituted diazenium dioxides and/or n'-hydroxy-diazenium oxide salts

Publications (2)

Publication Number Publication Date
KR20130133175A true KR20130133175A (ko) 2013-12-06
KR101967134B1 KR101967134B1 (ko) 2019-04-09

Family

ID=45810174

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137008945A KR101967134B1 (ko) 2010-09-08 2011-09-06 N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물

Country Status (10)

Country Link
US (1) US20130200039A1 (ko)
EP (1) EP2614122A4 (ko)
JP (1) JP2013540850A (ko)
KR (1) KR101967134B1 (ko)
CN (1) CN103210047B (ko)
IL (1) IL225084B (ko)
RU (1) RU2608890C2 (ko)
SG (2) SG10201506215WA (ko)
TW (1) TWI598434B (ko)
WO (1) WO2012032466A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6001532B2 (ja) * 2011-05-24 2016-10-05 株式会社クラレ 化学機械研磨用エロージョン防止剤、化学機械研磨用スラリーおよび化学機械研磨方法
CN103975001B (zh) * 2011-12-21 2017-09-01 巴斯夫欧洲公司 制备cmp组合物的方法及其应用
US8916061B2 (en) * 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
TWI586668B (zh) 2012-09-06 2017-06-11 第一三共股份有限公司 二螺吡咯啶衍生物之結晶
SG11201607700QA (en) * 2014-03-18 2016-10-28 Fujifilm Electronic Materials Etching composition
US9551075B2 (en) 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
WO2016047714A1 (ja) * 2014-09-26 2016-03-31 株式会社フジミインコーポレーテッド 研磨用組成物
US20160172188A1 (en) * 2014-12-16 2016-06-16 Samsung Sdi Co., Ltd. Rinse solution for silica thin film, method of producing silica thin film, and silica thin film
KR102463863B1 (ko) * 2015-07-20 2022-11-04 삼성전자주식회사 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법
CN106189873A (zh) * 2016-07-22 2016-12-07 清华大学 一种抛光组合物
JP2019050307A (ja) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド 研磨方法、ならびに研磨用組成物およびその製造方法
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
US11072726B2 (en) * 2018-06-29 2021-07-27 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US20200002607A1 (en) * 2018-06-29 2020-01-02 Versum Materials Us, Llc Low Oxide Trench Dishing Chemical Mechanical Polishing
US11078417B2 (en) * 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11549034B2 (en) * 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
CN114651317A (zh) * 2019-09-10 2022-06-21 富士胶片电子材料美国有限公司 蚀刻组合物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030084633A (ko) * 2002-04-22 2003-11-01 제이에스알 가부시끼가이샤 화학기계 연마용 수계 분산체
KR20050048668A (ko) * 2002-10-02 2005-05-24 바스프 악티엔게젤샤프트 살미생물성 조성물 및 이의 용도
KR20050122754A (ko) * 2004-06-25 2005-12-29 제일모직주식회사 반도체 sti 공정용 고선택비 cmp 슬러리 조성물

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578882A (en) 1897-03-16 Railway-rail joint
DE2610705C3 (de) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Saure galvanische Kupferbäder
US5057560A (en) 1987-10-05 1991-10-15 Ciba-Geigy Corporation Thermotropic copolymer hydrogels from N,N-dimethylacrylamide and methoxy-ethyl (meth) acrylate
DE3824807A1 (de) 1988-07-21 1990-01-25 Schering Ag Diorganozinnverbindungen und diese enthaltende mittel mit bakterizider und fungizider wirkung
DE3835370A1 (de) 1988-10-18 1990-04-19 Wolman Gmbh Dr Holzschutzmittel
RU2001934C1 (ru) * 1992-02-10 1993-10-30 Научно-производственный кооператив "Экорунд" Суспензи дл полировани оптического стекла
FR2694939B1 (fr) 1992-08-20 1994-12-23 Schlumberger Cie Dowell Polymères thermoviscosifiants, leur synthèse et leurs applications notamment dans l'industrie pétrolière.
DE59300999D1 (de) 1992-09-18 1996-01-04 Basf Ag Verfahren zur Herstellung von N-Hydroxy-N'-diazeniumoxiden.
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
EP1610367B1 (en) * 1996-09-30 2010-03-17 Hitachi Chemical Co., Ltd. Cerium oxide abrasive and method of polishing substrates
US6068787A (en) 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6110396A (en) 1996-11-27 2000-08-29 International Business Machines Corporation Dual-valent rare earth additives to polishing slurries
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5989301A (en) * 1998-02-18 1999-11-23 Saint-Gobain Industrial Ceramics, Inc. Optical polishing formulation
WO1999043761A1 (fr) 1998-02-24 1999-09-02 Showa Denko K.K. Composition abrasive de polissage d'un dispositif semiconducteur et procede de production d'un dispositif semiconducteur afferent
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6299659B1 (en) 1998-08-05 2001-10-09 Showa Denko K.K. Polishing material composition and polishing method for polishing LSI devices
TWI267549B (en) 1999-03-18 2006-12-01 Toshiba Corp Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded wiring
US7425581B2 (en) 1999-07-30 2008-09-16 Universiteit Utrecht Temperature sensitive polymers
US6491843B1 (en) 1999-12-08 2002-12-10 Eastman Kodak Company Slurry for chemical mechanical polishing silicon dioxide
US6468910B1 (en) 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
DE10006538C2 (de) 2000-02-15 2002-11-28 Forsch Pigmente Und Lacke E V Verfahren zur Beschichtung von Partikeln mit LCST-Polymeren
KR100378180B1 (ko) 2000-05-22 2003-03-29 삼성전자주식회사 화학기계적 연마 공정용 슬러리 및 이를 이용한 반도체소자의 제조방법
EP1197587B1 (en) 2000-10-13 2006-09-20 Shipley Co. L.L.C. Seed layer repair and electroplating bath
FR2824832B1 (fr) 2001-05-16 2005-05-27 Oreal Polymeres hydrosolubles a squelette hydrosoluble et a unites laterales a lcst, leur procede de preparation, compositions aqueuses les contenant, et leur utilisation dans le domaine cosmetique
DE10152993A1 (de) 2001-10-26 2003-05-08 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen mit hoher Selektivität
AU2003233400A1 (en) 2002-03-15 2003-09-29 The Penn State Research Foundation Method for control of temperature-sensitivity of polymers in solution
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
KR100474545B1 (ko) * 2002-05-17 2005-03-08 주식회사 하이닉스반도체 플래쉬 메모리 소자의 형성 방법
US6616514B1 (en) 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6645265B1 (en) 2002-07-19 2003-11-11 Saint-Gobain Ceramics And Plastics, Inc. Polishing formulations for SiO2-based substrates
DE10243438A1 (de) 2002-09-18 2004-03-25 Merck Patent Gmbh Oberflächenmodifizierte Effektpigmente
DE10254430A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
DE10254432A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
KR100539983B1 (ko) 2003-05-15 2006-01-10 학교법인 한양학원 Cmp용 세리아 연마제 및 그 제조 방법
AU2003297104A1 (en) 2003-07-09 2005-02-25 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
TWI415926B (zh) * 2003-07-11 2013-11-21 Grace W R & Co 化學機械研磨用磨粒
KR100574225B1 (ko) 2003-10-10 2006-04-26 요업기술원 실리카에 세리아/실리카가 코팅된 화학적 기계적 연마용연마재 및 그 제조방법
GB0326284D0 (en) * 2003-11-11 2003-12-17 Basf Ag Microbicidal compositions and their use
DE10358092A1 (de) 2003-12-10 2005-07-14 Merck Patent Gmbh Oberflächenmodifizierte Partikel
JP4420391B2 (ja) 2004-05-28 2010-02-24 三井金属鉱業株式会社 セリウム系研摩材
US7026441B2 (en) 2004-08-12 2006-04-11 Intel Corporation Thermoresponsive sensor comprising a polymer solution
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
TW200632147A (ko) 2004-11-12 2006-09-16
JP4131270B2 (ja) 2005-03-01 2008-08-13 トヨタ自動車株式会社 車輌の制駆動力制御装置
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US20060216935A1 (en) 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
FR2889194A1 (fr) 2005-07-27 2007-02-02 Rhodia Chimie Sa Copolymere a blocs comprenant un bloc lcst presentant une temperature inferieur critique de solubilite, formulations comprenant le copolymere et utilisation pour vectoriser un ingredient actif
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
US20090130384A1 (en) 2005-09-30 2009-05-21 Toyama Prefecture Chip Provided with film Having Hole Pattern with the Use of Thermoresponsive Polymer and Method of Producing the Same
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
EP2410558A3 (en) 2005-11-11 2012-04-18 Hitachi Chemical Co., Ltd. Polishing slurry for silicon oxide, additive liquid and polishing method
DE112006003221T5 (de) * 2005-12-22 2008-10-23 Asahi Glass Co., Ltd. Glassubstrat für eine Maskenvorform und Polierverfahren zur Herstellung desselben
WO2007086665A1 (en) 2006-01-25 2007-08-02 Lg Chem, Ltd. Cmp slurry and method for polishing semiconductor wafer using the same
US20090206450A1 (en) * 2006-04-26 2009-08-20 Nxp B.V. Method of manufacturing a semiconductor device, semiconductor device obtained herewith, and slurry suitable for use in such a method
US9120952B2 (en) 2006-10-27 2015-09-01 University Of South Florida Polymeric microgels for chemical mechanical planarization (CMP) processing
MY154929A (en) * 2007-02-08 2015-08-28 Fontana Technology Particle removal method and composition
GB0718440D0 (en) 2007-09-21 2007-10-31 Reckitt Benckiser Uk Ltd Hard surface treatment compositions with improved mold fungi remediation properties
JP2009266882A (ja) * 2008-04-22 2009-11-12 Hitachi Chem Co Ltd 研磨剤、これを用いた基体の研磨方法及び電子部品の製造方法
KR101094662B1 (ko) * 2008-07-24 2011-12-20 솔브레인 주식회사 폴리실리콘 연마정지제를 함유하는 화학 기계적 연마조성물
CN102149789A (zh) * 2008-09-12 2011-08-10 费罗公司 化学-机械抛光组合物及其制造和使用的方法
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法
US20130200038A1 (en) * 2010-09-08 2013-08-08 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030084633A (ko) * 2002-04-22 2003-11-01 제이에스알 가부시끼가이샤 화학기계 연마용 수계 분산체
KR20050048668A (ko) * 2002-10-02 2005-05-24 바스프 악티엔게젤샤프트 살미생물성 조성물 및 이의 용도
KR20050122754A (ko) * 2004-06-25 2005-12-29 제일모직주식회사 반도체 sti 공정용 고선택비 cmp 슬러리 조성물

Also Published As

Publication number Publication date
IL225084B (en) 2018-01-31
KR101967134B1 (ko) 2019-04-09
CN103210047A (zh) 2013-07-17
TWI598434B (zh) 2017-09-11
SG188459A1 (en) 2013-04-30
RU2013115236A (ru) 2014-10-20
JP2013540850A (ja) 2013-11-07
CN103210047B (zh) 2018-07-17
RU2608890C2 (ru) 2017-01-26
EP2614122A4 (en) 2014-01-15
EP2614122A1 (en) 2013-07-17
SG10201506215WA (en) 2015-09-29
WO2012032466A1 (en) 2012-03-15
US20130200039A1 (en) 2013-08-08
TW201217506A (en) 2012-05-01

Similar Documents

Publication Publication Date Title
KR101967134B1 (ko) N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물
TWI538971B (zh) 用於電子、機械及光學裝置之化學機械研磨基材之水性研磨組成物及方法
TWI538970B (zh) 化學機械研磨含有氧化矽介電質薄膜及多晶矽及/或氮化矽薄膜之基材的方法
TWI525164B (zh) 用於包含氧化矽介電質及多矽膜之化學機械研磨基材之水性研磨組成物及方法
KR101907863B1 (ko) 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법
US9070632B2 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
JP2014529673A (ja) グリコシドを含む化学機械研磨(cmp)組成物
TWI565770B (zh) 水性研磨組成物及用來化學機械研磨具有經圖案化或未經圖案化低k介電層之基板之方法
TWI583755B (zh) 用於將含氧化矽介電質及多晶矽薄膜之基板化學機械拋光的水性拋光組成物及方法
TW202231805A (zh) 用於高拓樸選擇性的自停止性拋光組合物與方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant