JP2013534045A - ビア孔により接続された導体のネットワークを生成するリソグラフィ方法 - Google Patents

ビア孔により接続された導体のネットワークを生成するリソグラフィ方法 Download PDF

Info

Publication number
JP2013534045A
JP2013534045A JP2013512839A JP2013512839A JP2013534045A JP 2013534045 A JP2013534045 A JP 2013534045A JP 2013512839 A JP2013512839 A JP 2013512839A JP 2013512839 A JP2013512839 A JP 2013512839A JP 2013534045 A JP2013534045 A JP 2013534045A
Authority
JP
Japan
Prior art keywords
layer
network
etched
etching
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013512839A
Other languages
English (en)
Other versions
JP5879656B2 (ja
Inventor
ベルダン、ジェローム
パン、ローラン
バルノラ、セバスティアン
Original Assignee
コミシリア ア レネルジ アトミック エ オ エナジーズ オルタネティヴズ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by コミシリア ア レネルジ アトミック エ オ エナジーズ オルタネティヴズ filed Critical コミシリア ア レネルジ アトミック エ オ エナジーズ オルタネティヴズ
Publication of JP2013534045A publication Critical patent/JP2013534045A/ja
Application granted granted Critical
Publication of JP5879656B2 publication Critical patent/JP5879656B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

本発明は、マイクロ電子集積回路内でビア孔により接続された導体の2個のネットワークを加工するリソグラフィに関する。本方法は、絶縁層(108)の下側における埋め込み導体(102)の第1のネットワークを形成した後で、
−基板への犠牲層の堆積およびエッチングするステップと、
−このようにエッチングされた犠牲層の要素の全ての縁に沿ってスペーサを形成し、次いで当該層の除去するステップと、
−マスキング層のエッチングを実行するステップとを含んでいる。
次いで、一方が第2のネットワークの導体の深さを画定し、他方がビア孔の所望の位置で必要とされる補完的な深さを画定する連続する2段の深さまで、絶縁層のエッチングを2回連続的に実行する。一方のエッチングはマスキング層(110)により画定されて第2のネットワークの導体の位置に対応し、他方はスペーサ、およびリソグラフィによりエッチングされた層内の開口部の両方により画定され、ビア孔の位置に対応している。エッチングの順序は重要でない。最後に、2回のエッチングに続いて、基板の絶縁材料内へエッチングされた領域が、導体とビア孔を同時に形成する導電材料(130)で埋められる。

Description

本発明は、基板上に超高密度パターンをエッチングするリソグラフィ、より正確にはマイクロ電子集積回路内における導体のネットワークの加工に関する。
高密度パターンは、いくつかの要素が極めて狭く、且つ極めて狭い間隔で配置されているパターンを意味するものと理解される。フォトリソグラフィにより、感光層が、例えばマスクを通して、例えば紫外線ビームに、通常は193ナノメートルの波長で露光される。パターンの密度、換言すればパターンのエッチングで実現される解像度は、いくつかのパラメータに関係するが、第一義的には使用する波長に依存し、波長が短いほど密度が高い。パターンの密度は、(特に13.5ナノメートルの)極紫外線を用いることにより増大させることができる。密度はまた、直径が極めて小さい電子ビームへの露光を用いて増大させることができるが、パターンの書込みがパターンを画定する大域的マスクではなく1点づつ実行されるため、エッチングの処理が極めて遅くなる。更に、電子ビームが狭いため、電子の分散および後方散乱現象が生じて解像度が低下する。
メモリ分野において、メモリの製造に必要とされるラインの可能な密度を増大させる公知の解決策は以下のステップを実行する、すなわち、
−基板上に犠牲層を形成するステップと、
−第1の細片に沿って犠牲層のエッチングを行なうステップと、
−このようにエッチングされた犠牲層の縁の全てに沿ってスペーサを形成するステップと、
−求める高密度ラインを形成すべくスペーサだけを残すように犠牲層を除去するステップと、である。
本発明はこの種の方法を改良するものであり、絶縁層により分離され、当該絶縁層を介した導電ビア孔により接続された導体が重なり合うネットワークの加工に適している。
従って、2個の導電ネットワークのうち少なくとも1個に関するビア孔の整列配置という問題が生じるが、本発明は、第2の導電ネットワークおよびビア孔の形成に共通のステップを用いると共に、ビア孔については、導体の画定に用いるスペーサによる整列配置を用いて、第2のネットワークが極めて高密度である場合でもビア孔が第2のネットワークと完全に整列配置されるような解決策を提供する。
本発明によれば、スペーサを形成するステップは、絶縁材料および鉱物層(ハードマスク)で覆われた導体の第1のネットワークを既に含んでいる基板上の犠牲層から始められ、鉱物層はスペーサにより画定される自由領域のパターンに従いエッチングされ、当該パターンは第2のネットワークの導体のパターンである。次いで、一方が第2のネットワークの導体の深さを画定し、他方がビア孔の所望の位置で必要とされる補完的な深さを画定する連続する2段の深さまで、基板の絶縁材料のエッチングを2回連続的に実行する。一方のエッチングはハードマスクにより画定されて第2のネットワークの導体の位置に対応し、他方はリソグラフィフォトレジスト内の開口部およびハードマスクのエッチングに用いたのと同じスペーサにより画定され、ビア孔の位置に対応している。エッチングの順序は重要でない。最後に、2回のエッチングの後で、基板の絶縁材料内へエッチングされた領域が、導体とビア孔を同時に形成する導電材料で埋められる。
要約するに、本発明は、絶縁層により分離された導体の2個の重なり合うネットワークを、当該絶縁層内で当該導体が互いに交差する位置において第1のネットワークの導体を第2のネットワークの導体に接続する導電ビア孔と共に、基板内に形成するリソグラフィ方法を提供するものであり、本方法は、
−基板上に第1のネットワークの導体を形成するステップと、
−第1のネットワークの導体を覆う絶縁材料を堆積するステップと、
−絶縁材料の上に鉱物マスク層を堆積するステップと、
−犠牲層を堆積して第1の部分パターンに従い当該層をエッチングするステップと、
−このようにエッチングした犠牲層の要素の縁にスペーサを形成して、当該スペーサが第2の部分パターンを画定する自由領域を残すステップと、
−スペーサだけを残すように犠牲層を除去するステップと、
−スペーサにより保護された鉱物マスク層をエッチングし、当該エッチングにより第2のネットワークの導体の位置を画定するステップと、
−絶縁材料の第1の深さまでの第1のエッチングを行なうステップと、
−絶縁材料の第2の深さまでの第2のエッチングを行なうステップとを含んでいて、
−一方のエッチングは、鉱物マスクの構成により画定されると共に第2のネットワークの導体の位置に対応し、他方のエッチングは、リソグラフィによりエッチングされた層内に形成された開口部およびスペーサにより画定された第2の部分パターンの両方に囲まれていて、開口部は、導電ビア孔の位置を画定すると共に少なくとも2個の対向する縁上でスペーサに囲まれ、
−最後に、絶縁材料内にエッチングされた領域の導電材料による埋め込みが、エッチング除去された領域から溢れ出すことなく、材料の表面と同一平面となって、当該材料が第2のネットワークの導体および導電ビア孔の両方を形成する。
絶縁材料は好適にはシリコンウェハ上に配置された低誘電率の誘電材料であり、導電材料は好適には銅であって、エッチング除去された領域から溢れ出すことなく、絶縁材料の表面と同一平面である。
フォトレジスト層に囲まれたエッチングは好適には電子ビームによるエッチングであり、フォトレジスト層の厚さは好適にはスペーサの高さより薄い。
犠牲層の除去のステップと鉱物マスク層のエッチングとの間に、スペーサの位置以外の追加的な領域がエッチングされるのを防止すべく、好適には電子ビームによる追加的なリソグラフィステップが好適には実行される。当該リソグラフィステップを実行する間に用いる感光層の厚さは好適にはスペーサの高さ以下である。
本発明の他の特徴および利点は、添付の図面を参照しながら以下に記述する詳細説明を精査することにより明らかになろう。
基板上に形成することが求められる導体の2重ネットワークの一例を、2個のネットワークの導体間のビア孔と共に模式的に示す。 本発明を実施する第1のステップを示す。 本方法の第1の変型例における後続ステップを示す。 本方法の第2の変型例における後続ステップを示す。
本発明は、絶縁基板に埋め込み導体の第1のネットワーク、および第1のネットワーク上に重ね合わされて当該基板上に穿設された導電ビア孔を介して後者に接続された導体の第2のネットワークを含み、2個のネットワークが高密度パターンに従い設計される「デュアルダマシン」と呼ばれる構造の形成に関して記述する。
図1に、所望の構造を上面図として示す。下側のネットワークは導体を垂直な細片として含み、上側のネットワークは導体を水平細片として含んでいる。垂直導体と水平導体の間で交差位置において2個の接触ビア孔を示す。他の交差位置は、接触のない交差位置である。
第1の(下側)ネットワークは、本例では、図面で垂直方向を向く2個の導体C1、C2を含んでいる。第2の、上側ネットワークは、図面で水平方向を向く3列の平行な導体を含んでいる。これらのうち2列は1箇所で中断され、換言すれば、各々が隙間により分離された2個の切片に分けられている。これらの切片は、第1列ではL1a、L1b、第2列ではL2a、L2bである。第3列L3は連続的である。水平および垂直導体は互いに交差し、切片L1aと導体C2の交差位置および切片L2aと導体C1の交差位置に電気接続用の2個のビア孔Va、Vbが各々設けられている。他の交差位置は接続ビア孔が無い交差位置である。導体間または切片間の間隔は、20〜30ナノメートル程度と小さくてよい。
導体の第1のネットワークは、所与の任意の方法により作成されていてよく、以下に記述するのは基本的に第2のネットワークおよび接続ビア孔の加工についてである。当該加工について、本方法の各種ステップを示す図2〜15を参照しながら記述する。各図において、3個の図面要素を示し、その各々は、右側に当該構造の上面図、左側に当該構造の上面図の線AAに沿った断面図、および中央に上面図の線BBに沿った断面図である。図を見易くするために、断面図上では、断面となる平面に位置する要素だけを示し、第1のネットワーク(図1に見ることができる)に埋め込まれた導体は当該上面図に示さない。
従って、出発点は例えばシリコン製の基板100であると考えられ(図2)、その内部に埋め込み導体102、例えば側壁が窒化タンタル104により絶縁された溝に埋め込まれた銅導体が形成されている。これらの導体が第1のネットワークを形成する。基板およびその埋め込み導体は、導体の2個のネットワーク間を絶縁する絶縁層または誘電層108で覆われている。基板100と誘電層108の間に絶縁適合層106が設けられていてよい。ビア孔が、第2のネットワークの導体が第1のネットワークの導体と交差して後者に接続されるべき位置に誘電層108(および層106)を介して形成される。誘電層は好適には、炭素またはフッ素がドープされたシリコン酸化物等の低誘電率の層(低k誘電体)である。
誘電層は、導体の第2のネットワークおよび導電ビア孔をエッチングするためのマスクを形成する表面境界層110で覆われている。エッチングマスクは、(有機材料製のマスクであるフォトレジストマスクとは対照的に)鉱物マスクであり、窒化チタンマスクとすることもできる。
犠牲層112は、スピンオンプロセスにより堆積した炭素(スピンオン炭素)の層であってよく、窒化層110を覆う。これは、後段階で除去される。
紫外線照射に感光するフォトレジスト層114が堆積され、フォトレジストの第1のパターンを画定すべく紫外線フォトリソグラフィによりエッチングされる。このパターンは、導体の第2のネットワークの画定に用いられている第1の部分パターンである。フォトレジストが現像されると、犠牲層を保護する位置を画定する。フォトリソグラフィを容易にする、図示されていない中間反射防止層を犠牲層112とフォトレジスト層114の間に挿入することができる。
犠牲層はフォトレジストにより保護されていない箇所でエッチング除去され、フォトレジストが除去される(図3)。この結果、犠牲層112の要素で覆われていない自由領域の第1の部分パターンを含んでいる構造が得られる。
次いでスペーサ116が犠牲層(図4)の切片の縁に沿って形成される。当該スペーサは、シリコン酸化物または窒化ケイ素または酸窒化ケイ素SiOxNyで作成されていても、あるいは有機材料で作成されていてもよい。スペーサは、層の共形堆積により形成され、続いて限られた厚さにわたり垂直異方性エッチングが行なわれて犠牲層の上面を露出させるのと同時に層110を露出させるが、犠牲層の要素の全ての側壁に沿って残った部分はそのままにしておく。これらの部分は、共形堆積を行なう間にこれらの側壁に沿って蓄積する層厚が過剰なために残るものであり、これらがスペーサ116を構成する。
第1の部分パターンが画定された後で他のフォトリソグラフィ動作が一切行なわれていないため、第1の部分パターンから直接に推論される第2の部分パターンをスペーサおよび犠牲層で覆われていない領域により画定する。
この段階で、犠牲層112が除去され、残りのスペーサ116だけが残る(図5)。従って自由領域のパターンは第1および第2の部分パターンの組合せである。
次いで層118が、スペーサ(自身が今は消滅した犠牲層の高さを有する)の高さより薄い厚さに堆積されるが(図6)、これは光子またはイオンまたは電子ビームで感光する層であることが有利である。感光層118の高さをスペーサの高さを超えない程度に下げるべく全面エッチングを実行する必要がある場合、当該エッチングはこの段階で行なわれる。
適当な種類の照射による層118のエッチングが次いで実行される。現像された感光層が第3の部分パターン(図7)を画定する。このリソグラフィ動作を用いて、第2のネットワークの導体のパターンの画定、より厳密には例えば線L1a、L1bの切片間の中断箇所の画定を完了する。これらの中断箇所は感光層118によりマスキングされる。
変型例として、層118は、ある種の照射に感光する層で覆われた、スペーサの高さより薄い厚さを有する非感光の下側層を含んでいてよい。感光層は非感光層内のパターンを画定し、非感光層は次いで窒化層110のリソグラフィマスクとして用いることができる。
感光層は電子ビームによりエッチングされる点が有利である。
感光層のエッチングが例えば紫外線等の光照射により行なわれる場合、スペーサ間に存在する層の光学指数は好適にはスペーサの光学指数にほぼ等しい(通常は1%未満の差)。
上述の動作の後で、自由領域の最終的なパターンが、スペーサまたは感光層118のいずれかによりマスキングされない基板上に存続する。このパターンは、第1、第2および第3の部分パターンの組合せであって、導電ビア孔の位置を含む第2のネットワークの導体のパターンを構成する。
次いでエッチングマスク層110は、自身に最終的なパターンを(図8)に転写すべく、感光層またはスペーサのいずれにも覆われていない位置でエッチングされる。従ってマスクは第2のネットワークの導体のパターンに対応している。最後に、感光層118が除去される(図9)。
図9〜19において、垂直断面線R−Rが先行図面と同じ位置ではなく、図1の右上にあるビア孔Va(この段階ではビア孔は未画定)を貫通している点に注意されたい。
ビア孔を画定するために、光子またはイオンまたは電子照射に感光する点が有利な新たな層を使用し、この場合も当該層の厚さはスペーサ116の高さより薄い。簡便のため「感光層」と称する当該層は、上記と同様に、単一の感光層または重ね合わされた2層で構成されていてよい。下側の非感光層は厚さがスペーサの高さより薄く、ある種の照射に感光する上側層で覆われている。この場合、上側感光層を用いて非感光層内のパターンを画定し、非感光層をビア孔のエッチングマスクとして用いることができる。
感光層がビームの照射に露出されて現像された後で、存続している単一感光層または下側非感光層の一部が所望の領域をマスキングする。リソグラフィにより画定される当該層、および以前に形成されたスペーサの全体がビア孔の所望のパターンを画定する。
層118を除去した直後、またはその深さの一部にわたり基板の事前エッチングを実行した後で、当該単一または2重感光層を堆積させることが可能である。新たな感光層を直ちに堆積する場合について最初に詳述し、次いで、後段階でのみ堆積させる可能性について記述する。簡便のため感光層は単一の層であると仮定する。
新たな感光層120は従って、スペーサの高さより薄い厚さに堆積される(図10)。
この場合も、電子ビームに感光するフォトレジストを感光層120として用いる点が有利である。
光子照射に感光するフォトレジストの場合、スペーサ間に存在する層(そのいずれかの寸法が波長より短い)のフォトリソグラフィを行なうために、スペーサおよびスペーサ間に堆積される層のために指数がほぼ等しい材料(通常は1%未満での差)が好適には選択される。
形成したい導電ビア孔を囲むために用いるパターンに従い、感光層内に開口部122が開かれる(図11)。開口部122は、互いに交差する2個の導体間に接点を設ける必要がある箇所で、2個のネットワークの導体の交差位置に形成される。感光層のエッチングは電子ビームにより実行される。その解像度は、感光層の高さがスペーサの高さより低いため向上しており、これはスペーサが、電子ビームに露出される間、横方向に分散される電子をより多く吸収できる比較的重い材料で作成されている場合に、更に良好である。
感光層内の開口部122のエッチングのパターンはエッチングマスク110(図11の左側部分を参照)の領域にわたる部分に溢れ出る場合がある。この場合、エッチングマスクがビア孔の縁を画定する。他の位置(再度図11の左側部分を参照)では、感光層の縁は誘電層108の上に直接乗っており、この場合、感光層がビア孔の縁を画定する。最後に、更に他の位置、およびビア孔の少なくとも2個の縁で、感光層の縁がスペーサで停止すると共に、この場合、スペーサがビア孔の縁を画定する(図11の中央部、第1のネットワークの導体上方のビア孔を参照)。
次いで、誘電層が窒化物マスク110または感光層120(図12)のいずれにも保護されていない箇所で、層108の厚さを下回る第1の深さP1までビア孔124が誘電層108内にエッチングされる。次いで、感光層およびスペーサ(図13)が除去される。
誘電層のエッチングは、第2の深さP2(図14)まで続けられる。今回エッチングは、第2のネットワークの導体のパターンに正確に対応している窒化物マスク110だけにより画定される。深さP2は、第2のネットワークの導体の所望の厚さに対応している。ビア孔124が存在する箇所では、エッチングは2個の深さP1およびP2を足し合わせて、ビア孔が導体の第1のネットワークに到達する深さの合計にする。深さP1は従って、第1のネットワークの導体に到達するのに必要なエッチングの深さを補完するものである。
当該構造内に適合層106が設けられている場合、ビア孔の底部で第1のネットワークの導体を露出させるべくこの段階でビア孔の底部で除去される。次いで導体金属130が、ビア孔の底部を含む、層108内でエッチングされた開口部に配置される。当該金属が2個のネットワーク間のビア孔と共に第2の導体ネットワークを形成する(図15)。
導体金属は銅であってよく、これらのエッチング除去された位置から溢れ出ないように後で同じ高さにされる。このダマシン法による銅の堆積は、層108内にエッチングされた開口部の底部における窒化タンタルの初期堆積等のステップを含んでいてよい。これらのステップについては詳述しない。
処理終了時点で窒化物マスクが除去される。
この段階での導体の2重ネットワークの構造は実際に図1に示した所望の構造である。
上述のように、誘電層108のエッチングの順序を逆にして、電子ビームに感光する新たな層120を堆積させる前に第1のエッチングステップを実行してもよい。これはすなわち、図14のステップを図10〜12のステップより前に実行することになる。深さP1、P2まで行なうエッチングの順序は従って逆転して、P2が導体形成のためにエッチング除去される第1の深さになり、P1がビア孔形成のためにエッチング除去される第2の深さになる。
その結果、鉱物マスク110のエッチング後に(図8)、絶縁材料が、鉱物マスクで覆われていない領域の基板から第1の深さ(P2と表記された第2のネットワークの導体形成に必要な深さ)までエッチング除去される。次いで、電子ビームに感光する新たな層120がスペーサの高さ以下の厚さに堆積され、当該感光層は電子ビームによりエッチングされ、基板の絶縁材料は、感光層またはマスクで覆われていない位置の第2の深さ(P1と表記し得る)までエッチングされ、感光層およびスペーサが除去されて、最後に、絶縁材料内でエッチングされた位置に導電金属が埋め込まれる。
深さの合計P1+P2は導体の第1のネットワークの深さである。
絶縁材料内にエッチングされた基板の位置に導体金属が埋め込まれ、これらの位置のうち、第1の深さ(P2)までしかエッチングされていない位置が導体の第2のネットワークを形成し、第1(P2)と第2の深さ(P1)の合計までエッチングされた位置が第1のネットワークと第2のネットワークの間の接続ビア孔を形成する。
従って、図9のステップの後で、この場合は上述の実施形態における図10〜14の一連のステップに代替して図16〜19の一連のステップが実行される。
図16において、図9に見られるように、スペーサで覆われた窒化物マスクの形成の後、絶縁層108の深さP2までの第1のエッチングが実行される。
次いで、電子ビームに感光する層120が、導電ビア孔(図17)のリソグラフィを目的として堆積される。
層120が開口部122のパターンに従い電子ビームに露出されることによりビア孔を画定することができ、これについて感光層における当該開口部122の囲い込みに関する図11と同じことが言える(図18)。
次いで絶縁層108の第2のエッチングが、窒化物、感光層またはスペーサにより保護されていない箇所で実行される。当該層は、ビア孔124だけで深さP2に加えられる深さP1までエッチングされる(図19)。
ビア孔の底部に存在し得る層106が除去されてビア孔の底部で第1のネットワークの導体を露出させる。感光層120およびスペーサ116はその後除去される。
最後に、図15に関して説明したように、図15と同一構造で終了すべく、導電材料がダマシン処理により堆積され、次いで窒化物マスク110が除去される。

Claims (10)

  1. 絶縁層(108)により分離された導体の2個の重なり合うネットワークを、前記絶縁層内で前記導体が互いに交差する位置において第1のネットワークの導体を第2のネットワークの導体に接続する導電ビア孔(Va,Vb)と共に、基板内に形成するリソグラフィ方法であって、
    −前記基板上に前記第1のネットワークの導体(102)を形成するステップと、
    −前記第1のネットワークの前記導体を覆う絶縁材料を堆積して前記絶縁層(108)を形成するステップと、
    −前記絶縁材料の上に鉱物マスク層(110)を堆積するステップと、
    −犠牲層(112)を堆積して第1の部分パターンに従い前記層をエッチングを行なうステップと、
    −このようにエッチングした前記犠牲層の要素の縁にスペーサ(116)を形成して、前記スペーサが第2の部分パターンを画定する自由領域を残すステップと、
    −前記スペーサだけを残すように前記犠牲層(112)を除去するステップと、
    −前記スペーサにより保護されていない箇所で前記鉱物マスク層をエッチングし、前記エッチングにより前記第2のネットワークの前記導体の位置を画定するステップと、
    −前記絶縁材料の第1の深さまでの第1のエッチングを行なうステップと、
    −前記絶縁材料の第2の深さまでの第2のエッチングを行なうステップとを含み、
    −一方のエッチングが、前記鉱物マスク(110)の構成により画定されると共に前記第2のネットワークの前記導体の位置に対応し、他方のエッチングが、リソグラフィ(120)によりエッチングされた層内に形成された開口部(122)および前記スペーサ(116)により画定された前記第2の部分パターンの両方に囲まれていて、前記開口部が、導電ビア孔の位置を画定すると共に少なくとも2個の対向する縁上でスペーサに囲まれ、
    −前記絶縁材料内にエッチングされた領域の導電材料(130)による埋め込みが、エッチング除去された領域から溢れ出すことなく、前記材料の表面と同一平面となり、前記材料が前記第2のネットワークの前記導体および前記導電ビア孔の両方を形成する方法。
  2. リソグラフィ(120)によりエッチングされた層が、前記絶縁材料の2回のエッチングの前に堆積され、開口部のパターンに従い露出され、続いて導電ビア孔の位置を画定すべく前記絶縁材料の前記第1のエッチングが実行され、リソグラフィによりエッチングされた前記層が除去され、前記第2のネットワークの前記導体の位置を画定すべく前記第2のエッチングが実行されることを特徴とする、請求項1に記載の方法。
  3. 前記スペーサが前記第2のエッチングの前に除去されることを特徴とする、請求項2に記載の方法。
  4. 前記絶縁材料の前記第1のエッチングが、前記第2のネットワークの前記導体の位置を画定すべく鉱物マスクのエッチングの後で実行され、次いでリソグラフィ(120)によりエッチングされた前記層が堆積されて開口部(122)のパターンに従いエッチングされ、次いで前記導体ビア孔の位置を画定すべく前記絶縁材料の前記第2のエッチングが実行され、これに続いて前記フォトレジストおよび前記スペーサが除去されることを特徴とする、請求項1に記載の方法。
  5. リソグラフィによりエッチングされた前記層が、イオンまたは電子または光子照射に感光するフォトレジストであり、その厚さが前記スペーサの高さより薄いことを特徴とする、請求項1〜4のいずれか1項に記載の方法。
  6. リソグラフィによりエッチングされた前記層が、非感光層と感光層の重ね合せからなり、前記非感光層の厚さが前記スペーサの高さより薄いことを特徴とする、請求項5に記載の方法。
  7. 前記絶縁材料がシリコンウェハ上に堆積された低誘電率の誘電材料であることを特徴とする、請求項1〜6のいずれか1項に記載の方法。
  8. 前記導電材料(130)が銅であり、エッチング除去された領域から溢れ出すことなく、前記絶縁材料の表面層と同一平面上であることを特徴とする、請求項1〜7のいずれか1項に記載の方法。
  9. 前記犠牲層(112)を除去する前記ステップと、前記鉱物マスク層(110)の前記エッチングとの間に、前記スペーサの位置以外の追加的な領域内で前記マスクを保護すべく追加的なリソグラフィステップが提供されることを特徴とする、請求項1〜8のいずれか1項に記載の方法。
  10. 前記追加的なリソグラフィステップが、前記スペーサの高さ以下の厚さを有する電子ビームに感光する層を用いることを特徴とする、請求項9に記載の方法。
JP2013512839A 2010-06-01 2011-05-25 ビア孔により接続された導体のネットワークを生成するリソグラフィ方法 Expired - Fee Related JP5879656B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR1002307A FR2960700B1 (fr) 2010-06-01 2010-06-01 Procede de lithographie pour la realisation de reseaux de conducteurs relies par des vias
FR1002307 2010-06-01
PCT/EP2011/058600 WO2011151244A1 (fr) 2010-06-01 2011-05-25 Procede de lithographie pour la realisation de reseaux de conducteurs relies par des vias

Publications (2)

Publication Number Publication Date
JP2013534045A true JP2013534045A (ja) 2013-08-29
JP5879656B2 JP5879656B2 (ja) 2016-03-08

Family

ID=43419071

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013512839A Expired - Fee Related JP5879656B2 (ja) 2010-06-01 2011-05-25 ビア孔により接続された導体のネットワークを生成するリソグラフィ方法

Country Status (6)

Country Link
US (1) US8889550B2 (ja)
EP (1) EP2577723B1 (ja)
JP (1) JP5879656B2 (ja)
KR (1) KR101747481B1 (ja)
FR (1) FR2960700B1 (ja)
WO (1) WO2011151244A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3001306A1 (fr) 2013-01-18 2014-07-25 Commissariat Energie Atomique Procede de fabrication d'un reseau de conducteurs sur un substrat au moyen de copolymeres a blocs
CN105518837B (zh) 2013-09-27 2019-04-16 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
KR102629208B1 (ko) * 2016-09-22 2024-01-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
CN112951726B (zh) * 2019-12-11 2023-09-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN114927533A (zh) * 2022-04-29 2022-08-19 无锡变格新材料科技有限公司 网格导电结构及其制备方法、触控模组及显示模组

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236928A (ja) * 1992-12-14 1994-08-23 Kawasaki Steel Corp 半導体装置およびその製造方法
JP2000286336A (ja) * 1999-01-13 2000-10-13 Lucent Technol Inc 集積回路の製造方法
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
JP2005150493A (ja) * 2003-11-18 2005-06-09 Sony Corp 半導体装置の製造方法
US20060046201A1 (en) * 2004-09-02 2006-03-02 Sandhu Gurtej S Method to align mask patterns
JP2008218573A (ja) * 2007-03-01 2008-09-18 Toshiba Corp 不揮発性半導体メモリの製造方法
JP2008311623A (ja) * 2007-06-15 2008-12-25 Samsung Electronics Co Ltd 半導体素子及びその製造方法
JP2009506576A (ja) * 2005-08-31 2009-02-12 マイクロン テクノロジー, インク. ピッチ増倍コンタクトを形成する方法
JP2010056443A (ja) * 2008-08-29 2010-03-11 Toshiba Corp 不揮発性半導体メモリ及びその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6583460B1 (en) * 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
JP2002086336A (ja) 2000-09-11 2002-03-26 Disco Abrasive Syst Ltd 円筒ころ軸受研磨装置
TW200507171A (en) * 2003-08-05 2005-02-16 Nanya Technology Corp Method for preventing short-circuits of conducting wires
KR100558008B1 (ko) * 2003-12-29 2006-03-06 삼성전자주식회사 반도체 소자의 배선 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
FR2901909B1 (fr) * 2006-05-30 2008-10-24 Commissariat Energie Atomique Memoire de donnees inscriptible et lisible par micropointes, structuree en caissons, et procede de fabrication
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100791948B1 (ko) * 2006-09-27 2008-01-04 삼성전자주식회사 탄소나노튜브 배선 형성방법 및 이를 이용한 반도체 소자의배선 형성방법
DE102006056620B4 (de) * 2006-11-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterstruktur und Verfahren zu ihrer Herstellung
KR100799077B1 (ko) * 2006-12-11 2008-01-28 동부일렉트로닉스 주식회사 금속 배선 및 그 형성 방법
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
JP5465897B2 (ja) * 2009-03-05 2014-04-09 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4901898B2 (ja) * 2009-03-30 2012-03-21 株式会社東芝 半導体装置の製造方法
KR101604040B1 (ko) * 2009-08-26 2016-03-16 삼성전자주식회사 반도체 메모리 소자의 제조 방법
DE102010003560B4 (de) * 2010-03-31 2018-04-05 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit einem Kondensator in einem Metallisierungssystem, der durch ein Hartmaskenstrukturierungsschema hergestellt ist
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
US8765599B2 (en) * 2012-01-06 2014-07-01 GlobalFoundries, Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236928A (ja) * 1992-12-14 1994-08-23 Kawasaki Steel Corp 半導体装置およびその製造方法
JP2000286336A (ja) * 1999-01-13 2000-10-13 Lucent Technol Inc 集積回路の製造方法
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
JP2005150493A (ja) * 2003-11-18 2005-06-09 Sony Corp 半導体装置の製造方法
US20060046201A1 (en) * 2004-09-02 2006-03-02 Sandhu Gurtej S Method to align mask patterns
JP2009506576A (ja) * 2005-08-31 2009-02-12 マイクロン テクノロジー, インク. ピッチ増倍コンタクトを形成する方法
JP2008218573A (ja) * 2007-03-01 2008-09-18 Toshiba Corp 不揮発性半導体メモリの製造方法
JP2008311623A (ja) * 2007-06-15 2008-12-25 Samsung Electronics Co Ltd 半導体素子及びその製造方法
JP2010056443A (ja) * 2008-08-29 2010-03-11 Toshiba Corp 不揮発性半導体メモリ及びその製造方法

Also Published As

Publication number Publication date
EP2577723A1 (fr) 2013-04-10
EP2577723B1 (fr) 2014-07-02
WO2011151244A1 (fr) 2011-12-08
US8889550B2 (en) 2014-11-18
US20130072017A1 (en) 2013-03-21
FR2960700B1 (fr) 2012-05-18
KR101747481B1 (ko) 2017-06-14
FR2960700A1 (fr) 2011-12-02
JP5879656B2 (ja) 2016-03-08
KR20130122539A (ko) 2013-11-07

Similar Documents

Publication Publication Date Title
JP5983953B2 (ja) ピッチを2倍にするリソグラフィ方法
JP5879656B2 (ja) ビア孔により接続された導体のネットワークを生成するリソグラフィ方法
TW201721716A (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
KR20130070351A (ko) 반도체장치 제조 방법
KR20080034234A (ko) 반도체 장치의 미세 패턴 형성 방법
US20170170118A1 (en) Local interconnect structure including non-eroded contact via trenches
KR20180082851A (ko) 반도체 소자의 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
KR100919349B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR20060114431A (ko) 반도체소자의 제조방법
TW200828502A (en) Method for fabricating landing plug contact in semiconductor device
KR100796509B1 (ko) 반도체 소자의 제조방법
KR100745898B1 (ko) 반도체 소자의 형성 방법
CN109494149B (zh) 半导体结构的制作方法
KR20120004109A (ko) 반도체 장치의 콘택홀 형성 방법
KR100597594B1 (ko) 반도체 소자의 콘택플러그 형성방법
KR20100026190A (ko) 반도체 장치 제조 방법
TWI769363B (zh) 平面化半導體結構的方法
CN111986989B (zh) 半导体结构及其形成方法
KR100399963B1 (ko) 반도체 장치의 전하저장전극 형성방법
TW200411830A (en) Method for fabricating semiconductor device
TW202109624A (zh) 積體電路的製作方法
KR101095041B1 (ko) 반도체소자의 미세패턴 형성방법
TWI482238B (zh) 半導體孔洞結構
KR20080062011A (ko) 반도체 소자의 제조방법
US20130341762A1 (en) Semiconductor hole structure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150331

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150827

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151013

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160114

R150 Certificate of patent or registration of utility model

Ref document number: 5879656

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees