JP2012119686A - 金属含有犠牲材料及びダマシン配線形成の方法 - Google Patents

金属含有犠牲材料及びダマシン配線形成の方法 Download PDF

Info

Publication number
JP2012119686A
JP2012119686A JP2011261655A JP2011261655A JP2012119686A JP 2012119686 A JP2012119686 A JP 2012119686A JP 2011261655 A JP2011261655 A JP 2011261655A JP 2011261655 A JP2011261655 A JP 2011261655A JP 2012119686 A JP2012119686 A JP 2012119686A
Authority
JP
Japan
Prior art keywords
layer
hard mask
sacrificial material
metal
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011261655A
Other languages
English (en)
Inventor
Nobuhiro Uozumi
宜弘 魚住
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of JP2012119686A publication Critical patent/JP2012119686A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】ヴィアのダメージを抑制することが可能な構造及び方法を提供する。
【解決手段】実施形態に係る半導体装置を形成するための構造は、半導体基板と、ベースキャップ層210と、配線層の形成のための絶縁体層215と、絶縁体層上に形成されたハードマスク層220とを備え、ヴィアがハードマスク層及び絶縁体層の少なくとも一部を貫通して形成され、ヴィアが金属又は金属化合物を含有した犠牲材料で埋められている。
【選択図】図2

Description

開示された実施形態は、金属又は金属化合物を含有した犠牲材料を用いて形成された配線を有する配線層を形成するための構造及び方法に関する。
現代の集積化された半導体では、配線層が半導体基板上に形成され、半導体装置全体の領域を配線している。配線層は下地層に対して導電的にコンタクトし、半導体基板上に形成された異なった半導体装置間におけるコミュニケーションを確立している。
配線層内の配線の寸法が縮小されるにしたがって、上層に存在する配線と下層の下地層との間のアライメントの制御性が問題となってくる。配線は、絶縁体材料内にヴィア及びトレンチのパターンを形成し導電材料で埋めることによって、配線層内に配置される。ヴィア及びトレンチのパターンの幅が減少するにしたがって、それらの形成に用いられるドライエッチング及びアッシングプロセスに起因したヴィア及びトレンチの側壁のダメージが、最終的なクリティカルディメンション(CD)の予測不能性及びアライメントの困難性を招く。
ヴィアファースト・トレンチラスト(VFTL)手法が、トレンチファースト・ヴィアラスト(TFVL)手法に比べてアライメントの高い精度を達成するために採用される。VFTL手法において、最初に形成されるヴィアは、トレンチをパターニングするためのフォトマスクのサポートとなる犠牲材料で埋められる。しかしながら、そのような犠牲材料は化学剤によって除去する必要があり、それは絶縁体材料に対してさらなるダメージを生じさせる。
ヴィアのダメージを抑制することが可能な構造及び方法を提供する。
実施形態に係る半導体装置を形成するための構造は、半導体基板と、ベースキャップ層と、配線層の形成のための絶縁体層と、前記絶縁体層上に形成されたハードマスク層と、を備え、ヴィアが前記ハードマスク層及び前記絶縁体層の少なくとも一部を貫通して形成され、前記ヴィアが金属又は金属化合物を含有した犠牲材料で埋められている。
図1A〜図1Hは、ヴィア及びトレンチを形成するための方法及び構成の実施形態を示した図である。 図2A〜図2Hは、金属又は金属化合物を含有した犠牲材料を用いてヴィア及びトレンチを形成するための方法及び構成の実施形態を示した図である。 図3A〜図3Hは、金属又は金属化合物を含有した犠牲材料及び金属ハードマスクを用いてヴィア及びトレンチを形成するための方法及び構成の実施形態を示した図である。 図4A〜図4Hは、金属又は金属化合物を含有した犠牲材料を用いてヴィア及びトレンチを形成するための方法及び構成の実施形態を示した図である。 図5A〜図5Gは、キャップ開口処理によってヴィアを形成し、金属又は金属化合物を含有した犠牲材料を用いてトレンチを形成するための方法及び構成の実施形態を示した図である。 図6A及び図6Bは、金属又は金属化合物を含有した犠牲材料を用いて自己形成されるバリア層を形成するための方法及び構成の実施形態を示した図である。 図7は、ヴィア及びトレンチを形成するためのフローを示した図である。
一態様によれば、半導体構造にヴィア及びトレンチが形成される。ヴィア及びトレンチは、ダマシン、デュアルダマシン、めっき、及び他の技術によって、その中に金属配線を配置するのに適している。ヴィアは、ベースキャップ層と、ベースキャップ層上に形成された絶縁体層と、絶縁体層上に形成されたハードマスクとを備えた半導体構造の絶縁体層にエッチングされる。ヴィアは犠牲材料で埋められ、犠牲材料は金属又は金属化合物を含有し、犠牲材料はさらにハードマスク層上に犠牲層を形成する。
ヴィア内に配置された犠牲材料は、Si−O結合を含む材料或いは膜を含んでいない。犠牲材料は、犠牲層上に配置されたフォトマスクに対するサポートとして用いられ、フォトマスクはトレンチパターンを有するように現像される。そして、少なくとも1つ以上のハードマスク層及び絶縁体層がトレンチパターンでエッチングされ、犠牲材料及び犠牲層は、酸性化合物、水、塩基性化合物、及び過酸化水素或いはオゾンのような酸化剤から選択された少なくとも1つ以上を含有する剥離液に接触させることによって除去される。犠牲材料及び犠牲層は、30%よりも高い重量比の有機溶剤を有する溶液又はフッ素含有有機化合物を有する溶液のいずれにも接触しない。
図1A〜図1Hは、配線層の形成のための例示的な方法及び構成を示している。図1Aは、下地層105上に形成されたベースキャップ層110を有する構造を示している。絶縁体層115がベースキャップ層110上に形成され、ハードマスク層120が絶縁体層115上に形成され、光吸収層125がハードマスク層120上に形成されている。
絶縁体層115は、半導体構造内の絶縁体として用いるのに適した任意の絶縁体材料で形成されている。一提要では、絶縁体材料は二酸化シリコンである。他の態様では、絶縁体材料は、二酸化シリコンの誘電率よりも低い誘電率を有するlow−k材料である。low−k材料は、シリコン原子を含むことができる。さらに他の態様では、絶縁体材料はポーラスなSiCOH材料である。
配線層は、絶縁体層115から形成される。以下に説明される犠牲材料が、絶縁体層115内のヴィア及びトレンチのパターンを形成するために有用である。ヴィア及びトレンチの所望のパターンの形成により、配線層を形成するために、公知のダマシン及びデュアルダマシン技術によって、金属配線をヴィア及びトレンチのパターン内に配置することができる。すなわち、配線層は、ヴィア及びトレンチのパターン内に配置された配線とともに絶縁体材料を含んでおり、犠牲材料はヴィア及びトレンチのパターンを形成するプロセスで用いられる。配線層は、下地層105上に形成され、それは、少なくとも1つ以上の他の配線層であってもよく、トランジスタ、キャパシタ、及び/又は他のデバイス構造が形成されたデバイス層であってもよく、半導体基板であってもよい。
一態様では、金属配線の幅は、約25nmから約10μmである。他の態様では、金属配線の幅は、約30nmから約1μmである。さらに他の態様では、金属配線の幅は、約10nmから約500nmである。
図1Aにおいて、フォトマスク130が光吸収層125上に配置され、フォトマスク130はヴィアパターンを有するようにパターニングされている。リアクティブイオンエッチング(RIE)及びアッシングが公知の技術を用いて行われ、ヴィアパターンをハードマスク層120及び絶縁体層115に転写し、ヴィア140を形成する。アッシングは、光吸収層125の除去に用いることができる。エッチングはヴィアをベースキャップ層110まで延ばして下地層に接触させることができ(すなわち、キャップ開口)、或いはエッチングは下地層に達する前でストップさせることもでき、キャップ開口処理は後続のプロセスで行うことができる。
RIE及び/又はアッシングプロセスは、光吸収層125及びフォトマスク130を除去する。しかしながら、このプロセスは、ヴィア140を形成するためにRIEを用いた処理の後に行われ、それは、絶縁体層115の絶縁体材料を露出させる。RIEプロセスはたびたび、ヴィア140の側壁の材料にダメージ180を誘起する。ヴィア140の形成後に行われるRIE及び/又はアッシング処理は、ダメージが特に図に描かれていてもいなくても、ヴィア140の側壁に対してダメージを誘起する可能性を有している。トレンチ形成後、以下に述べるように、ヴィア140は、“キャップ開口”処理において、下地層105に接触するように延び、それはヴィア140の側壁へのさらなるダメージを誘起する可能性を有している。
トレンチを形成するために、ヴィア140は犠牲材料で埋められ、図1Cに示すように、犠牲材料はハードマスク層120上に犠牲層145を形成する。犠牲材料は、犠牲層145上に配置されたトレンチパターンを有するフォトマスク135に対するサポートとして機能する。フォトマスク135は、付加的なハードマスク及び/又は光吸収層を有することができる。ヴィアパターン及びトレンチパターンは典型的には、ハードマスク層120及び絶縁体層115の水平表面にオーバーラップし、それはフォトマスク135に対するサポートを与えるためにヴィア140が犠牲材料/層145で埋められていることを必要とする。
図1D〜図1Fに示されるように、トレンチパターンは、公知のエッチングプロセスにより、犠牲層145、ハードマスク層120及び絶縁体層115内へとエッチングされる。リアクティブイオンエッチング或いは公知の他の技術が、トレンチパターンをフォトマスク135からハードマスク層120及び絶縁体層115へと転写することに用いることができる。図1Dは、エッチングによりトレンチパターンを犠牲層145に転写することを示している。図1Eは、エッチングによりトレンチパターンをハードマスク層120に転写ことを示している。図1Fは、エッチングによりトレンチパターンを絶縁体層115に転写することを示している。
典型的には、犠牲材料/層145は、レジスト或いはSi−O結合を含んだ物質から形成された膜である。そのような犠牲材料は、有機溶液或いはフッ素を含有した有機剥離液に接触させることで除去することができる。しかし、図1Gに示すように、ヴィアの底に向かって位置する残った犠牲材料170は、ウェット技術によって除去することは非常に困難である。図1Gはまた、配線185及びバリアメタル層190を有する下地の配線層としての下地層105をより詳細に示している。
有機剥離液による犠牲材料/層145のウェット除去は、ヴィア140の側壁にダメージ180を生成し、そのようなダメージはそれよりも前に行われたアッシング処理によるダメージに追加される。プラズマエッチングのようなドライ技術は、犠牲材料145を除去すること及び/又はウェット除去を試みた後に残ったヴィア140の底の残余材料170を除去することに用いることができる。しかしながら、そのような技術もまた、トレンチ150の側壁と同様に、ヴィア140の側壁にもダメージを生成する。ダメージ180の生成は、ヴィア140及びトレンチ150の幅を広げる原因となる。その結果、そのようなプロセスは、さらなるコスト、及び最終的に形成されるデバイスのクリティカルディメンション(CD)の制御の困難性を生じさせる。
図1Hにおいて、ヴィア140は、“キャップ開口”処理において下地層105に接触するように延伸する。“キャップ開口”処理のパフォーマンスは、ヴィア140の側壁へのさらなるダメージ源となる。
ここで開示されるイノベーションに関連する実施形態を説明する。ここで開示されるイノベーションは、少なくとも1つ以上の低酸化性酸、水及び塩基性化合物に接触させることによって除去可能な金属又は金属化合物を含有した犠牲材料の配置及び使用を許容する。金属含有犠牲材料は、ヴィアの側壁へのダメージを誘起する有機溶剤、フッ素含有剥離液或いはドライエッチングを使用すること無しに、除去することができる。さらに、金属含有犠牲材料は、ヴィア内に除去困難な堆積物を形成することなくクリアに除去される。
当業者は、材料の堆積、マスキング、フォトリソグラフィ、エッチング及びインプランティングを含んだ公知の半導体製造技術が、説明されたデバイス及び構造の形成に用いられることを認識するであろう。半導体構造を形成するための材料の堆積は、減圧化学気相堆積(low pressure chemical vapor deposition)、化学気相堆積(chemical vapor deposition)、原子層堆積(atomic layer deposition)等によって可能である。参照番号は、素子にマッチしている。
ここで用いられる“上(on)”、“上方(above)”、“下方(below)”及び“上(over)”といった語句は、半導体基板の表面によって規定された平面(plane)に対して規定される。“上(on)”、“上方(above)”及び“上(over)”等の語句は、問題とする要素(subject element)が空間的なリファレンス(spatial reference)として参照される他の要素(another element)よりも半導体基板の平面から遠ざかっていることを示している。“下方(below)”及び類似の語句は、問題とする要素(subject element)が空間的なリファレンス(spatial reference)として参照される他の要素(another element)よりも半導体基板の平面に近づいていることを示している。“上(on)”、“上方(above)”、“下方(below)”及び“上(over)”等の語句は、相対的な空間的関係を示しているだけであり、任意の要素が物理的な接触をしていることを必ずしも示していない。前述した定義は、本明細書を通して適用される。1つのレンジ(range)からの形態(figure)又はパラメータは、数的レンジ(numerical range)を生成するための同一の特徴(same characteristic)に対して、異なったレンジ(different range)からの他の形態(figure)又はパラメータに結合されてもよい。
図2A〜図2Hを参照して、ここに開示されるイノベーションに関連する実施形態を説明する。図2Aに示すように、配線層の形成のために用いられる構造は、下地層205上に形成されたベースキャップ層210を有している。絶縁体層215がベースキャップ層210上に形成され、ハードマスク層220が絶縁体層215上に形成され、光吸収層225がハードマスク層220上に形成されている。本構造は、ヴィア及びトレンチのパターンが金属配線の配置を許容する絶縁体層215内に形成されるように処理される。
絶縁体層215は、層215内の半導体構造内の絶縁物として用いられるのに適した任意の絶縁体材料で形成されている。一態様では、絶縁体材料は二酸化シリコンである。他の態様では、絶縁体材料は、二酸化シリコンの誘電率よりも低い誘電率を有するlow−k材料である。low−k材料はシリコン原子を含有することができる。さらに他の態様では、絶縁体材料はポーラスなSiCOH材料である。
図2A〜図2Bは、絶縁体層215内のヴィアパターンの形成を示している。フォトマスク230が光吸収層225上に配置され、フォトマスク230がヴィアパターンを有するようにパターニングされている。RIE及びアッシングが公知の技術を用いて行われ、ヴィアパターンをハードマスク220及び絶縁体層215に転写する。エッチングはヴィアをベースキャップ層210を貫通させるように延ばして下地層に接触させることができ(すなわち、キャップ開口)、或いはエッチングは下地層に達する前でストップさせることもできる。図2Bは、ヴィア240が下地層205に到達する前でストップしていることを示している。アッシングプロセスは、光吸収層225及びフォトマスク230を除去する。ほとんどのアッシングプロセスで本質的であるように、アッシング処理は、参照番号280の陰影領域で示されるように、ヴィア240の側壁を形成する絶縁体材料にダメージを与える。
ヴィア240は犠牲材料で埋められ、犠牲材料はハードマスク層220上にも犠牲層245を形成する。ここに開示されたイノベーションの態様によれば、犠牲材料はPVD、CVD、めっき或いはスピンオン法で堆積された金属又は金属化合物を含有している。公知のゾル−ゲル法のようなスピンオン法を採用した場合には、堆積後の平坦化が容易である。犠牲層の材料は、以下に述べるような、水或いは水を含んだ溶液によって除去可能な金属又は金属化合物であれば、特定の金属又は金属化合物に限定されない。下地層205内に存在する配線はしばしば銅を含有するため、金属又は金属化合物は、銅と反応せず銅の急速なコロージョンを促進しない溶液によって除去される。犠牲材料の除去に用いられる溶液は、剥離液と呼ぶことができる。
多くの金属及び金属化合物は、水性の剥離液によって溶解し除去されるように、容易に酸化される。一態様では、剥離液は、犠牲材料の金属又は金属化合物を溶解させる能力を有する水溶液である一方、銅含有化合物の急速な溶解を促進しない。一態様では、剥離液は、水素イオンが犠牲材料の金属又は金属化合物を酸化することができて犠牲材料の溶解に作用する酸を含んだ水溶液である。
一態様では、犠牲材料の金属又は金属化合物は、塩酸、リン酸、硫酸、炭酸や、カルボン酸、酢酸、クエン酸、グルコール酸等の有機酸のように、低い酸化電位を有する酸の水溶液によって除去可能である。ここで規定するように、低い酸化電位を有する酸は、半反応式で約0.2mVよりも大きい標準電位を有するさらなる還元種への還元を行うことのできるアニオンへと分離されない酸である。他の態様では、低い酸化電位を有する酸は、約0.3mVよりも大きい標準電極電位を有するアニオンへと分離されない酸である。
銅は、Cu2+からCuへの還元の半反応式で0.34mVの標準電位を有する。銅は、低い酸化電位の酸によって、容易に酸化、腐食或いは溶解されない。例えば、剥離液は、硝酸のような酸化性イオンへと分解させる酸性化合物を含んでいない。剥離液は、希硫酸を含むことができる。任意の特定の剥離液の観察される酸化電位は、酸性水溶液のpH及び濃度を含むいくつかのファクターに依存する。
一態様では、剥離液は、−2よりも高く約5よりも低いpHを有している。他の態様では、剥離液は、約1よりも高く約5よりも低いpHを有している。さらに他の態様では、剥離液は、約3よりも高く約5よりも低いpHを有している。一態様では、剥離液の酸の濃度は、約5Mよりも低い。他の態様では、剥離液の酸の濃度は、約1Mよりも低い。さらに他の態様では、剥離液の酸の濃度は、約50mMから約500mMである。他の態様では、剥離液は、水或いは中性或いは塩基性化合物(basic compound)の溶液である。さらに他の態様では、剥離液は、過酸化水素或いは有機過酸化化合物を含んでいる。
一態様では、剥離液は、有機溶剤或いはフッ素含有有機化合物を含有していない。しかしながら、当業者は、ヴィアの側壁へのダメージのような重大な悪影響を与えることなく、少量の有機溶剤が含まれることが可能であることを認識するであろう。一態様では、剥離液は、重量で約30%以下の有機溶剤を有することができる。他の態様では、剥離液は、重量で約10%以下の有機溶剤を有することができる。有機溶剤の例は、メタノール、エタノール、イソプロパノール、アセトン、ベンゼン、トルエン、アルカンエーテル、及び直鎖或いは分岐アルカンを含んだ有機溶剤及びアルコールを含む。
犠牲材料は、酸性pHを有する溶液によって容易に溶解する金属、金属化合物或いは金属合金を含有することができる。一般に、そのような金属、化合物及び合金は、対応する金属イオンの還元に対して負の標準電極電位を有している。ストロンチウム酸化物、ストロンチウムチタン酸化物(STO)、ランタン及びランタン酸化物のような金属、金属化合物及び合金は、酸性溶液に加えて、中性或いはアルカリ剥離液によって溶解することができる。例えば、水は、ストロンチウム酸化物、STO、ランタン及びランタン酸化物を溶解することができる。
チタン窒化物(TiN)或いはタングステンの犠牲材料は、酸を含有した剥離液、或いは、過酸化水素、アンモニア、テトラメチルアンモニウムハイドロオキサイド(TMAH)、テトラエチルアンモニウムハイドロオキサイド(TEAH)、コリン、及びそれらの混合物のような中性或いは塩基性化合物を含有した剥離液によって溶解する。
マンガン或いはマンガン酸化物を含有した犠牲材料は、塩酸、炭酸、リン酸、或いは希硫酸などを含んだ剥離液に溶解する。
図2D〜図2Fに示すように、トレンチパターンは、一連の公知のエッチングプロセスにより、犠牲層245、ハードマスク層220及び絶縁体層215へとエッチングされる。レジスト235が犠牲層245上に配置され、トレンチパターンでパターニング及び現像されて、ハードマスク層220及び絶縁体層215へと転写される。図2Dでは、トレンチを犠牲層245を通ってハードマスク層220に延伸させる第1のエッチング処理が行われる。図2Eでは、絶縁体層215までハードマスク層220をエッチングすることで、トレンチが延伸する。一態様では、レジスト235は、ハードマスク層220をエッチングした後に除去される。他の態様では、レジスト235は、ハードマスク層220をエッチングした後に残すことができる。
トレンチ250は、図2Fに示すように、絶縁体層215まで延伸させることで完成する。一態様では、レジスト235は、トレンチエッチングの完了の後に除去される。他の態様では、レジスト235は、トレンチ250をエッチングしている間に除去される。
図2Gに示すように、犠牲材料及び層245は、トレンチ240のエッチングの完了後に除去される。犠牲材料は、存在する犠牲材料の除去に適した剥離液に犠牲材料を接触させることによるウェットプロセスによって除去される。一態様では、剥離液は、フッ素原子を有する有機化合物を含有していない。剥離液は、ここで説明されるように、ヴィア240及びトレンチ250が犠牲材料で埋められていないように、犠牲材料/層245の全てを実質的に除去する。
例えば、TiN及びタングステンの少なくとも1つ以上を含有する犠牲材料は、希硫酸、塩酸、過酸化水素、アンモニア、TMAH、TEAH、コリン、アンモニア及び過酸化水素の混合物、TMAH及び過酸化水素の混合物、TEAH及び過酸化水素の混合物、及びコリン及び過酸化水素の混合物、から選択された少なくとも1つ以上を含有する剥離液に接触させることによって除去することができる。マンガン又はマンガン酸化物の少なくとも1つ以上を含有する犠牲材料は、塩酸、炭酸、リン酸及び希硫酸などの酸から選択された少なくとも1つ以上を含有する剥離液に接触させることによって除去することができる。錫、錫酸化物、インジウム錫合金、インジウム錫酸化物、ランタン及びランタン酸化物の少なくとも1つ以上を含有する犠牲材料は、水、酸性溶液及びアルカリ性溶液から選択された少なくとも1つ以上を含有する剥離液に接触させることによって除去することができる。
一態様では、約3秒から約60分、剥離液を犠牲材料に接触させる。他の態様では、約5秒から約30分、剥離液を犠牲材料に接触させる。さらに他の態様では、約10秒から約15分、剥離液を犠牲材料に接触させる。さらに他の態様では、約30秒から約5分、剥離液を犠牲材料に接触させる。
一態様では、剥離液を、約5から約90℃の温度で犠牲材料に接触させる。他の態様では、剥離液を、約10から約50℃の温度で犠牲材料に接触させる。さらに他の態様では、剥離液を、約20から約40℃の温度で犠牲材料に接触させる。
図2Hでは、ヴィア240は、“キャップ開口”処理において、下地層205に接触するように延伸させられる。光吸収層225を除去するために行われるアッシング及び“キャップ開口”処理を行うことは、ヴィア240及び/又はトレンチ250の側壁にダメージを生じさせるが、図2A〜図2Hに示された方法は、犠牲材料の除去に対して有機溶剤或いはフッ素を含有した有機化合物を用いないために、側壁へのダメージの量を実質的に減少させることができる。すなわち、一態様では、有機溶剤或いはフッ素を含有した有機化合物は、犠牲材料の除去に先立って構造に接触しない。
図3A〜図3Hは、ここに開示されるイノベーションについての例示的な追加の実施形態である。図2A〜図2H及び図3A〜図3Hには、同様の参照番号を付している。図3A〜図3Bでは、現像されたヴィアパターンを有するフォトマスク230が、上述したように、光吸収層225、ハードマスク層220、絶縁体層215、ベースキャップ層210、及び下地層205を有する半導体構造上に配置される。ヴィアパターンは、RIEによってハードマスク層220及び絶縁体層215に転写され、光吸収層225がアッシングによって除去される。上述したように、アッシングプロセスは、ヴィア240の側壁にダメージを生じさせる。
図3Cでは、上述したように、ヴィア240が金属又は金属化合物を含有した犠牲層245で埋められ、犠牲材料はハードマスク層220上に層245を形成する。さらに、金属ハードマスク335が犠牲層245上に配置され、トレンチパターンを有するフォトマスク235が形成される。
図3Dでは、フォトマスク235からのトレンチパターン250が、金属ハードマスク335及び犠牲層245に転写される。その後、フォトマスク235が除去され、金属ハードマスク335に転写されたトレンチパターンが、後続のエッチングにおけるトレンチパターンのテンプレートとして機能する。図3E及び図3Fに示すように、金属ハードマスク335は、トレンチパターン250をハードマスク層220及び絶縁体層215に転写する際に、トレンチパターンのテンプレートとして機能する。
図3Gでは、上述したように、剥離液に接触させることによって犠牲材料/層が除去される。金属ハードマスク335は、同一の溶液に接触させる、又は他の溶液に接触させることによって除去することができる。金属ハードマスク及び/又は犠牲材料を除去した後、犠牲材料で実質的に埋められることなく、ヴィア240及びトレンチ250が残る。図3Hでは、上述したように、“キャップ開口”処理において、ヴィア240が下地205まで到達する。
図4A〜図4Hは、ここに開示されるイノベーションについての例示的な追加の実施形態である。図2A〜図2H及び図4A〜図4Hには、同様の参照番号を付している。図4A〜図4Bでは、現像されたヴィアパターンを有するフォトマスク230が、上述したように、光吸収層225、金属ハードマスク層430、ハードマスク層220、絶縁体層215、ベースキャップ層210、及び下地層205を有する半導体構造上に配置される。
ヴィアパターンは、RIEによって金属ハードマスク層430に転写され、光現像層225がアッシングによって除去される。上述したように、アッシングプロセスは、ヴィア及び/又はトレンチの側壁にダメージを生じさせる可能性がある。しかしながら、図4Bに示すように、金属ハードマスク層430をエッチングする際に、ヴィアパターンはハードマスク層220中にも絶縁体層215中にも延伸して行かない。光吸収層225を除去するアッシングプロセスは、ハードマスク層220及び絶縁体層215にいかなるダメージも生じさせない。
金属ハードマスク層430をエッチングした後、ヴィアパターンはRIEによってハードマスク層220及び絶縁体層215内にまで延伸する。ヴィアパターンが上述したエッチングステップの最中に金属ハードマスク430に転写されるため、金属ハードマスクは、ヴィアパターンをハードマスク層220及び絶縁体層215に転写してヴィア240を形成するためのテンプレートとして機能する。図4Cに示すように、ヴィア240が犠牲材料で埋められ、上述したように、犠牲層245がハードマスク層220上に形成される。必要であれば、追加の金属ハードマスク層435を、犠牲層上に形成することができる。そして、トレンチパターンを有するフォトマスク235が、ハードマスク220或いは金属ハードマスク435上に形成される。
図4Dに示すように、フォトマスク235からのトレンチパターンが、追加の金属ハードマスク435、犠牲層245及び金属ハードマスク430に転写され、フォトマスク235が除去される。図4E及び図4Fに示すように、金属ハードマスク435は、ハードマスク層220及び絶縁体層215をエッチングするためのトレンチパターン250のテンプレートとして機能する。
図4Gでは、上述したように、剥離液に接触させることによって犠牲材料/層が除去される。金属ハードマスク435は、同一の溶液に接触させる、又は他の溶液に接触させることによって除去することができる。金属ハードマスク及び/又は犠牲材料を除去した後、犠牲材料で実質的に埋められることなく、ヴィア240及びトレンチ250が残る。図4Hでは、上述したように、“キャップ開口”処理において、ヴィア240が下地205まで到達する。ハードマスク層及び絶縁体層がアッシングに晒されることがないため、ヴィア240及びトレンチ250の側壁に生じるダメージの量が実質的に減少する。
図5A〜図5Gは、追加の実施形態を示している。図4A〜図4H及び図5A〜図5Gには、同様の参照番号を付している。図5Aは、上述した特徴及び層を有する半導体構造を示している。図5Bでは、フォトマスク230からのヴィアパターンが、第1のエッチングにより金属ハードマスク層430に転写される。続いて、フォトマスク230及び光吸収層225がアッシングプロセスによって除去される。アッシングの後、RIEによって下地層205まで延伸したヴィア540が形成される。すなわち、後続の処理においてトレンチパターンのエッチングが行われる前に、“キャップ開口”処理が行われる。すなわち、分離された“キャップ開口”処理が排除され、分離された“キャップ開口”処理を行うことによってヴィア540及び/又はトレンチの側壁に生じる可能性があるさらなるダメージが最小化される。
図5C〜図5Fでは、ヴィア540が金属又は金属化合物を有する犠牲材料で埋められ、金属ハードマスク或いはSi含有ハードマスクのような第2の金属ハードマスク層435、及びトレンチパターンを有するフォトマスク235が、犠牲層245上に配置される。続いて、トレンチが、上述したように、第2の金属ハードマスク層435、ハードマスク層220及び絶縁体層215へとエッチングされる。図5Gは、上述した剥離液に接触させた後の、犠牲材料が実質的に無いヴィア540及びトレンチ250を示している。
図6は、ここに開示されたイノベーションによって得ることが可能なさらなる効果を示している。図6Aは、ハードマスク層620、絶縁体層615及びベースキャップ層610内までエッチングされたヴィアに埋められた犠牲層645を示している。下地層605は、配線685及びバリアメタル層695を有する例示的な配線層として示されている。犠牲材料645は、トレンチパターンを有するフォトマスク635をサポートするよう機能する。犠牲材料645の金属又は金属化合物材料は、犠牲材料645のバルクとハードマスク層620及び絶縁体層615との間に介在するバリアメタル層690を自己形成することができる。バリアメタル層690は、犠牲材料をハードマスク層620及び/又は絶縁体層615の材料と反応させて、金属酸化物或いは金属シリコン酸化物を形成することによって形成することができる。一態様では、金属バリア層は、チタン酸化物、チタンシリコン酸化物、マンガン酸化物及びマンガンシリコン酸化物から選択された少なくとも1つ以上を含む。トレンチパターンは、上述した任意の実施形態にしたがってエッチングされる。
フォトマスク635のトレンチパターンは、ハードマスク層620及び絶縁体層615へとエッチングされ、トレンチ650が形成される。続いて、犠牲材料645が、上述したように、剥離液に接触させることによって除去され、図6Bに示された構造が生成される。バリアメタル層690は、犠牲材料が配置されていたときには犠牲材料645に接触していた表面及びヴィア640に残される。バリアメタル層690を有する構造は、後続の処理においてさらに処理される。バリアメタル層690の効果的な特徴は、“キャップ開口”のようなさらなるプロセスに起因するさらなるダメージに対して、バリアメタル層が絶縁体層615を保護できることである。
ここに開示されたイノベーションの十分な説明のために、半導体構造内のヴィア及びトレンチパターンを形成する処理を、図7を参照して説明する。処理702では、少なくとも1つ以上のハードマスク層及び/又は絶縁体層がヴィアパターンでエッチングされ、その構造は、少なくとも半導体基板、半導体基板上に形成されたベースキャップ層、ベースキャップ層上に形成された絶縁体層、及び絶縁体層上に形成されたハードマスクを有する。処理704では、絶縁体層及び/又はハードマスク層に形成されたヴィアを、金属又は金属化合物を有する犠牲材料で埋め、犠牲材料で形成された犠牲層がハードマスク層上に形成される。処理706では、少なくとも1つ以上のハードマスク層及び絶縁体層がトレンチパターンでエッチングされる。処理708では、犠牲材料及び犠牲層が濃縮された有機溶剤又はフッ素含有有機化合物のいずれにも接触しないという条件で、酸性化合物、水、塩基性化合物及び酸化剤から選択された少なくとも1つ以上を有する剥離液に接触させることよって、犠牲材料が除去される。濃縮された有機溶剤は、約30%よりも高い重量比の有機溶剤を有している。
与えられた特性に対する任意の形態(figure)或いは数的範囲(numerical range)について、1つの形態或いは1つの範囲からのパラメータは、数的範囲を生成する同一の特性に対して、他の形態或いは異なった範囲からのパラメータに結合されてもよい。
本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。

Claims (5)

  1. 半導体基板と、
    ベースキャップ層と、
    配線層の形成のための絶縁体層と、
    前記絶縁体層上に形成されたハードマスク層と、
    を備え、
    ヴィアが前記ハードマスク層及び前記絶縁体層の少なくとも一部を貫通して形成され、
    前記ヴィアが金属又は金属化合物を含有した犠牲材料で埋められている
    ことを特徴とする半導体装置を形成するための構造。
  2. 前記犠牲材料は、酸性化合物、水、塩基性化合物及び酸化剤からなるグループから選択された少なくとも1つ以上を含む溶液に接触させることによって除去可能である
    ことを特徴とする請求項1に記載の構造。
  3. 前記犠牲材料と前記絶縁層との間に介在したバリアメタル層をさらに備え、
    前記バリアメタル層は、チタン酸化物、チタンシリコン酸化物、マンガン酸化物及びマンガンシリコン酸化物からなるグループから選択された少なくとも1つ以上を含む
    ことを特徴とする請求項1に記載の構造。
  4. 半導体基板上に形成された半導体構造におけるヴィア及びトレンチパターンを形成する方法であって、
    半導体基板上に形成された半導体構造の絶縁体層内にヴィアをエッチングすることであって、前記半導体構造が、ベースキャップ層と、前記ベースキャップ層上に形成された絶縁体層と、前記絶縁体層上に形成されたハードマスクとを備えることと、
    前記ヴィアを金属又は金属化合物を含む犠牲材料で埋めることと、
    前記半導体構造上に、トレンチパターンを有するように現像されたフォトマスクを配置することと、
    前記ハードマスク層及び前記絶縁体層の少なくとも1つ以上を前記トレンチパターンでエッチングすることと、
    前記犠牲材料を、前記犠牲材料及び犠牲層が30%よりも高い重量比の有機溶剤を含んだ溶液又はフッ素含有有機化合物を含んだ溶液のいずれにも接触しないという条件で、酸性化合物、水、塩基性化合物及び酸化剤からなるグループから選択された少なくとも1つ以上を含む剥離液に接触させることよって除去することと、
    を備えた方法。
  5. 絶縁体層にヴィア及びトレンチパターンを形成する方法であって、
    ベースキャップ層と、絶縁体層と、ハードマスク層と、前記ハードマスク層上に形成された金属ハードマスク層と、光吸収層と、ヴィアパターンを有する第1のフォトマスクとを備えた半導体基板上に形成された半導体構造を設けることと、
    前記金属ハードマスク層をエッチングして前記金属ハードマスク層に前記ヴィアパターンを転写し、アッシングを行って前記第1のフォトマスク及び前記光吸収層を除去することと、
    前記アッシングを行った後に前記ハードマスク層及び前記絶縁体層をエッチングしてヴィアを形成することと、
    前記ヴィアを犠牲材料で埋めることであって、前記犠牲材料が金属又は金属化合物を含み、前記犠牲材料が前記ハードマスク層上の犠牲層を形成することと、
    トレンチパターンを有するように現像された第2のフォトマスクを前記犠牲層上に配置することと、
    前記ハードマスク層及び前記絶縁体層の少なくとも1つ以上を前記トレンチパターンでエッチングすることと、
    前記犠牲材料及び前記犠牲層を、前記犠牲材料及び犠牲層が約30%よりも高い重量比の有機溶剤を含んだ溶液又はフッ素含有有機化合物を含んだ溶液のいずれにも接触しないという条件で、酸性化合物、水、塩基性化合物及び酸化剤からなるグループから選択された少なくとも1つ以上を含む溶液に接触させることよって除去することと、
    を備えた方法。
JP2011261655A 2010-11-30 2011-11-30 金属含有犠牲材料及びダマシン配線形成の方法 Pending JP2012119686A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/956,330 2010-11-30
US12/956,330 US8222160B2 (en) 2010-11-30 2010-11-30 Metal containing sacrifice material and method of damascene wiring formation

Publications (1)

Publication Number Publication Date
JP2012119686A true JP2012119686A (ja) 2012-06-21

Family

ID=46126064

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011261655A Pending JP2012119686A (ja) 2010-11-30 2011-11-30 金属含有犠牲材料及びダマシン配線形成の方法

Country Status (3)

Country Link
US (1) US8222160B2 (ja)
JP (1) JP2012119686A (ja)
TW (1) TW201234671A (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9070625B2 (en) * 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
KR20140089650A (ko) 2013-01-03 2014-07-16 삼성디스플레이 주식회사 액정 표시 장치 및 그 제조 방법
US9142488B2 (en) 2013-05-30 2015-09-22 International Business Machines Corporation Manganese oxide hard mask for etching dielectric materials
CN105474369B (zh) * 2013-09-25 2019-01-15 英特尔公司 用于剥离掩模层的牺牲材料
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9659811B1 (en) 2016-07-07 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of semiconductor device
CN106629581B (zh) * 2016-12-23 2021-04-23 江苏鲁汶仪器有限公司 全湿法腐蚀形成器件结构的方法
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
CN109873060B (zh) * 2019-04-18 2020-11-13 广东省半导体产业技术研究院 一种微发光二极管阵列制作方法
CN112670240B (zh) * 2020-12-24 2024-05-28 深圳市华星光电半导体显示技术有限公司 阵列基板的制备方法与显示面板

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
JP2006054251A (ja) * 2004-08-10 2006-02-23 Toshiba Corp 半導体装置の製造方法
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
US8168528B2 (en) 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing

Also Published As

Publication number Publication date
TW201234671A (en) 2012-08-16
US8222160B2 (en) 2012-07-17
US20120133044A1 (en) 2012-05-31

Similar Documents

Publication Publication Date Title
JP2012119686A (ja) 金属含有犠牲材料及びダマシン配線形成の方法
US8080475B2 (en) Removal chemistry for selectively etching metal hard mask
TWI529885B (zh) 積體電路結構及其製造方法
US10361120B2 (en) Conductive feature formation and structure
TWI600117B (zh) 用於互連的結構和方法
KR100953034B1 (ko) 반도체 소자 및 이의 제조 방법
JP2004128463A (ja) 半導体素子の製造方法
TWI250579B (en) Method for fabricating semiconductor device
CN111524795B (zh) 自对准双重图形化方法及其形成的半导体结构
CN110416067A (zh) 半导体装置的制造方法
JP2005347587A (ja) ドライエッチング後の洗浄液組成物および半導体装置の製造方法
KR100388591B1 (ko) 미세 패턴 형성 방법 및 이것을 이용한 반도체 장치 또는액정 장치의 제조 방법
JP2008258622A (ja) ナノワイヤトランジスタおよびその製造方法
JP2001148356A (ja) チャンファが形成された金属シリサイド層を備えた半導体素子の製造方法
JP2005039180A (ja) 半導体素子の金属配線の形成方法
JP2008544524A (ja) 半導体素子における銅の層剥離の回避
JP2010205782A (ja) 半導体装置の製造方法
JP2006222208A (ja) 半導体装置の製造方法
CN112542381A (zh) 半导体结构及其形成方法
CN110060919B (zh) 半导体器件及其形成方法
CN112242347A (zh) 半导体结构及其形成方法
JP2004006656A (ja) フォトレジスト及びポリマ残留物の除去方法
JP2006054251A (ja) 半導体装置の製造方法
JP3688650B2 (ja) 電子デバイスの製造方法
JP2007165514A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20131018

RD07 Notification of extinguishment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7427

Effective date: 20131129