JP2010524237A - 不揮発性メモリの第1層間誘電体スタック - Google Patents

不揮発性メモリの第1層間誘電体スタック Download PDF

Info

Publication number
JP2010524237A
JP2010524237A JP2010502176A JP2010502176A JP2010524237A JP 2010524237 A JP2010524237 A JP 2010524237A JP 2010502176 A JP2010502176 A JP 2010502176A JP 2010502176 A JP2010502176 A JP 2010502176A JP 2010524237 A JP2010524237 A JP 2010524237A
Authority
JP
Japan
Prior art keywords
layer
dielectric
gap filling
gettering
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010502176A
Other languages
English (en)
Other versions
JP2010524237A5 (ja
Inventor
オー. アデトゥトゥ、オルビンミ
ビー. ハンドレイ、クリストファー
エイ. インガーソル、ポール
ティ. スウィフト、クレイグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JP2010524237A publication Critical patent/JP2010524237A/ja
Publication of JP2010524237A5 publication Critical patent/JP2010524237A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

略均一な厚さの保護ゲッタリング層(72)を有する第1層間誘電体(ILD0)スタックを形成する方法および装置について記載する。基板(31)上にデバイス部品(32,33)を形成した後、PEN ESLのエッチング停止層(42)の上方に、SATEOSの間隙充填誘電体層(52)を堆積する。SATEOSの間隙充填誘電体層(52)を平坦化してから、平坦化した間隙充填誘電体層(52)上にBPTEOSのゲッタリング層(72)およびキャッピング誘電体層(82)を堆積する。ILD0スタックを形成すると、ILD0スタックに対して一つまたは複数のコンタクト開口部(92,94,96)をエッチングする。これにより、所望のコンタクト領域上方でエッチング停止層(42)が露出する。

Description

本発明は、半導体デバイスの分野一般に関する。一態様において、本発明はフローティングゲートまたは他の半導体デバイス構造に用いられる層間誘電体層の製造に関する。
半導体デバイスとは一般に、ライン前工程(FEOL)の一部として基板上または基板内に形成されるデバイス部品(たとえば、トランジスタおよびキャパシタ)を含む。さらに、ライン後工程(BEOL)の集積化プロセスの一部としてデバイス部品を外部に接続する配線構造物(たとえば、コンタクト部、金属線、ビア)が含まれる。ライン後工程(BEOL)の集積化プロセスとは、配線構造物およびデバイス部品を電気的に絶縁させるために、一つまたは複数の誘電体層を配線構造物内および配線構造物同士の間に形成するプロセスである。可動イオンおよび他の不要不純物の電荷損失/利得効果から半導体デバイスを保護するために、BEOL誘電体層は一般に第1層間誘電体(ILD0)の全体または一部を成すホウ素−リンテトラエチルオルトシリケート(boro−phosphorous tetra−ethyl ortho−silicate(BPTEOS))の層を含む。この第1層間誘電体は、プレ金属誘電体(PMD)と呼ばれることもある。BPTEOS層は、たとえば不揮発性メモリ(NVM)セルのデータ保持性能に作用し得る可動イオンの影響からのNVM保護を助けるゲッタリング機能を与える。またBPTEOS層は、半導体トランジスタ(たとえば、配列されたトランジスタ)間の磁場漏洩を制御するのを助ける。
図1にこのような半導体デバイスの例を示す。図1は、デバイス部品(たとえば、トランジスタ12,13)を基板11の上方または内部に形成した半導体デバイス10を示す。簡略図に示すデバイス部品12,13は、任意の種類のトランジスタデバイス(たとえば、MOSFETデバイス、DRAMデバイス、またはNVMデバイス)を表す。デバイス部品12,13の形成は、基板11上にゲート電極とゲート誘電体層を形成し、かつゲート電極上の側壁スペーサを用いて基板11にソース領域/ドレイン領域(不図示)の少なくとも一部を形成する、所望のトランジスタ製造シーケンスを用いて行ってもよい。ゲッタリング層の形成は、既存の製造プロセスによりデバイス部品12,13上にBPTEOS層14を堆積して行われる。しかし、非等角的に堆積した場合、BPTEOS層14はデバイス部品12,13上方で一層厚く形成され、開口部がなくなり、BPTEOS層14内に空洞領域15が形成される。ILD0層内に空洞領域があると、後の処理工程で生成される可動イオン(たとえば、後の研磨工程で使用される化学的機械的研磨用スラリー材から生じるイオン、並びに他の処理および/または洗浄工程で生じるイオン)が閉じ込められることがある。デバイス内に可動イオンがあると、特にNVMデバイスではデバイス歩留まりが低減し、性能が低下し得る。さらに、後のコンタクト部形成工程で空洞内に伝導性ストリンガ(たとえば、タングステンストリンガ)が形成されることがある。この場合、複数のコンタクト部が同時に短絡される。
可動イオンの導入に加え、後の研磨工程においてもBPTEOS層14の与える保護機能が低減または除去され得る。図2に示すとおり、BPTEOS層14がILD0スタックに含まれる膜積層の一部であり、研磨されて下方の半導体デバイス20の少なくとも一部を露出させる場合、このような低減または除去はILD0層の平坦化に伴い生じ得る。特に化学的機械的研磨(CMP)工程でBPTEOS層14を研磨する場合、CMPの研磨速度が(高密度領域と絶縁領域の間で)異なるため、幾つかの領域ではBPTEOS層14が除去または薄化されることがある。この場合、これらの領域ではゲッタリング保護機能が損なわれる。研磨によってBPTEOS層14の一部を除去した場合でさえ、残りの露出したBPTEOS層が雰囲気内の不純物にさらされ、この不純物がBPTEOS層内に閉じ込められることがある。この場合、ゲッタリング効果が低減される。
したがって、空洞のないILD0層の製造方法として、改善された方法が求められている。さらに、十分なゲッタリング保護を与え、かつ製造プロセス(たとえば、ライン工程の中ほど)に効果的に、効率的に、確実に統合可能な空洞のないILD0スタックが求められている。また、可動イオンからの保護を効果的にし、デバイス歩留まりを改善し、および/またはストリンガの短絡形成を低減する改善されたILD0スタック形成プロセスも求められている。また、上記のような本技術分野の問題を克服するために改善された半導体プロセスおよび半導体デバイスが求められている。さらに、添付の図面および以下の詳細な説明と併せて本願の残りの部分を検討することにより、当業者には従来プロセスおよび従来技術のもつ制限および不利点が明らかとなるであろう。
空洞を有する単一層BPTEOS層を形成した半導体デバイスの部分断面図。 図1に続く、BPTEOS層を平坦化した処理を示す図。 基板上にNVMデバイス部品を形成した半導体デバイスの部分断面図。 図3に続く、エッチング停止層を堆積した処理を示す図。 図4に続く、一つまたは複数の誘電体膜層で形成された間隙充填層を堆積した処理を示す図。 図5に続く、化学的機械的研磨工程により間隙充填層を平坦化した処理を示す図。 図6に続く、第1ゲッタリング誘電体層を堆積した処理を示す図。 図7に続く、第2誘電体層を堆積した処理を示す図。 図8に続く、一つまたは複数のデバイス部品を露出させるために接触開口部を形成した処理を示す図。 略均一な厚さのゲッタリング層を有するILD0スタックの形成プロセスを示すフロー図。
添付の図面と併せて以下の詳細な説明を検討することにより、本発明は理解されるであろう。また本発明の複数の目的、特徴、利点が得られるであろう。
図示を簡略および明瞭にするため、図面に示す要素は必ずしも縮尺で描かれる必要はない。たとえば、明瞭さおよび理解を促し高めるために、幾つかの要素は他の要素に対して大きく描かれている。さらに、対応する要素または類似した要素を表すために、適宜図面間で参照番号を繰り返し用いる。
半導体デバイス上に第1層間誘電体(ILD0)を形成する方法および装置を説明する。このILD0層は、略均一な厚さの保護ゲッタリング層を有する。特定の実施形態によると、後のコンタクトエッチング工程において下方のゲートスタックを保護するために、また可動イオンからの保護のために、ILD0層は、半導体デバイス上方にエッチング停止層(たとえば、プラズマシリコン窒化物)を堆積することによって形成される。エッチング停止層の潜在的欠陥(たとえば、イオン移動の経路となるシームライン)に対処するためには、より堅牢な保護機能が必要とされる。各種実施形態において、まずエッチング停止層の上方に間隙充填層を形成することにより、ILD0スタックに堅牢なゲッタリング保護が与えられる。間隙充填層の形成は、空洞またはコアの形成を抑えあるいはなくすように、ゲートを完全に被覆する厚さ、かつ半導体デバイスの間の領域を過充填する厚さとなるよう行われる。間隙充填層の形成は、準常圧のテトラエチルオルトシリケート(SATEOS)の誘電体層または高密度プラズマ(HDP)酸化物を等角的に堆積することによって行われる。あるいは、間隙を完全に充填する誘電体を使用することによって行われる。間隙充填剤の研磨速度が高く望ましくない場合、あるいは間隙充填材がCMP処理に耐えない場合、適切な誘電材料(たとえば、リンをドープしたTEOS(PTEOS))を用いて間隙充填剤の上方に安定研磨層を形成してもよい。間隙充填層または間隙充填スタックの平坦化後、たとえばBPTEOS、PTEOS、またはホウ素をドープしたTEOS(BTEOS)の誘電体層を堆積することによって、平坦化した間隙充填層または間隙充填スタックの上方にゲッタリング層を形成する。さらに、高密度誘電体層(たとえば、プラズマ強化TEOS(PETEOS))を堆積することによって、ゲッタリング層の上方に別の誘電体を形成してもよい。別の誘電体層はゲッタリング膜のキャップとして機能し、後の工程でゲッタリング膜が雰囲気不純物にさらされないよう保護する。高密度誘電体層は、後に形成される金属トレンチ(たとえば、Cu)を固着するための構造的な支持部となる。また高密度誘電体層は、後に形成される銅がILD0層に拡散するのを防ぐための銅拡散障壁機能部となってもよい。間隙充填層を高密度プラズマ(HDP)誘電体膜で形成した場合、HDP層が保護的および構造的な支持部として機能するため、このような別の高密度誘電体層はあまり必要ない。さらに他の実施形態において、間隙充填層は、HDPをドープした誘電体膜(たとえば、HDP BPTEOS、またはHDP PTEOS)および任意の研磨キャップ層で形成されてもよい。また、後に堆積されるTEOS金属固着キャップ層を平坦面に形成できるよう、間隙充填層はCMP工程で研磨される。上記から分かるように、一つまたは複数の間隙充填層、ゲッタリング層、および別の誘電体層は、一つまたは複数のアニール工程で任意に高密度としてもよい。ILD0の平坦化工程後にゲッタリング層(および別の誘電体層)を形成した集積型ILD0スタック形成方法を開示することにより、良好な界面をもつ平坦な誘電体上にゲッタリング膜が形成される。またこのゲッタリング層は、研磨または露出なく略均一な厚さを有する。ILD0スタック層の形成後、下方の半導体デバイスを露出させるために、コンタクト開口部をエッチングする。また、ライン工程の所望のバックエンドプロセス(たとえば、標準のCMOS BEOL処理)を用いてデバイスを完成してもよい。開示の方法および装置によって、ILD0層内の空洞が低減または除去され、ゲッタリング保護が高められる。その結果、製造歩留まり、特にコンタクトプラグのアスペクト比が非常に高いNVM製品の歩留まりが向上される。ただし、開示の技術はプラグ内の空洞によって歩留まりが制限される製品または技術にも利用可能である。
添付の図面を参照し、図に示す本発明の各種実施形態について詳細に説明する。以下の説明ではさまざまな詳細を記載するが、これら特定の詳細なく本発明は実施可能であることを理解されたい。デバイス設計者が意図する特定の目標(たとえば、プロセス技術への準拠または設計上の制約への準拠)は各実施形態で異なるが、これらの目的を達成するために、本明細書に記載の発明に対して、各実施形態に固有の数々の決断がなされ得ることを理解されたい。こうした開発の努力は手間や時間を要し得るが、本開示の利点を得る当業者にとって繰り返し行う作業となろう。たとえば本詳細な説明において、図に示す半導体構造を形成するためにある材料の層の堆積および除去を行うが、層の堆積または除去の特定手順については、以下で詳述しない。層の厚さが適切となるよう堆積、除去、または形成を行うことは当業者にとって従来技術であるが、このような従来技術の使用が意図される。こうした詳細は周知であり、当業者に本発明の達成または利用の仕方について開示する必要はないであろう。また特定の態様は、本発明を制限しないあるいは不明瞭にしないよう、各デバイスの特性または形状を含まない半導体デバイスの簡略断面図で示される。当業者は自身の研究の内容を他の当業者に説明し伝えるために、このような説明および表現を用いる。本詳細な説明において、図面上のある要素は簡略および明瞭のために示されており、必ずしも縮尺で描かれていない。たとえば、本発明の実施形態の理解を高めるために、幾つかの要素は他の要素に対して大きく描かれてもよい。
図3は、基板31上にトランジスタデバイス部品(たとえば、MOSデバイス、NVMデバイス、またはDRAMデバイス)32,33を形成した半導体デバイス30の部分断面図である。製造するトランジスタデバイス32,33の種類によって、基板31は、バルクシリコン基板、単結晶シリコン(ドープ有あるいはドープ無し)、またはSi、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InPなどからなる半導体材料として、並びに他のIII−IV化合物半導体、またはそれらを組み合わせたものとして組み込まれてもよい。また基板31は、任意でバルクハンドリングウェハとして形成されてもよい。また基板31は、SOI構造の半導体層の最上層として、あるいは結晶方位が異なるバルク領域および/またはSOI領域を含むハイブリッド基板として組み込まれてもよい。
図3に例として示す簡略したデバイスにおいて、各デバイス部品32,33は、チャネル領域を有する不揮発性メモリ(NVM)デバイスである。このチャネル領域上方には、第1絶縁層または第1トンネル誘電体層と、第1層上方に形成されたフローティングゲート34と、フローティングゲート34上方に形成された(一つまたは複数の)制御誘電体層(35)(たとえば、ONO層)と、誘電体層35上方に形成された制御ゲート36とを含むNVMゲートスタックが形成されている。NVMゲートスタック32,33の側面に形成されている一つまたは複数の側壁スペーサ37は、一般に基板31のソース領域およびドレイン領域(不図示)の形成に用いられる。フローティングゲート34は酸化物の突出部分から端部で持ち上げられたように図示されているが、これは本発明に必須の特徴ではない。動作時、フローティングゲート層34は、制御ゲート36およびトンネル誘電体の制御により充電される電荷蓄積層として機能する。デバイス部品32,33の形成は、ライン工程シーケンスにおける所望のフロントエンドプロセスを用いて行ってもよい。上記から分かるとおり、フローティングゲートデバイス以外に、ナノ結晶デバイス、SONOS(シリコン−酸化物−窒化物−酸化物−シリコン)デバイスなど他種類のNVMデバイスがある。またデバイス部品は、MOSFETトランジスタ、ダブルゲート型FDSOI(fully depleted semiconductor−on−insulator)トランジスタ、NVMトランジスタ、キャパシタ、ダイオード、または他の集積回路部品など、どのような種類の半導体デバイス部品を表してもよい。
図4は、図3に続く、エッチング停止層42を形成した半導体デバイス40の処理を示す。エッチング停止層42は、シリコン窒化物を堆積することにより形成されてもよく、第1可動イオン障壁層として機能してもよい。コンタクトホール開口時に下方のデバイス部品32,33をエッチングおよび/またはアッシングによる損傷から保護する材料である限り、エッチング停止層42の形成には所望の材料を用いてもよい。各種実施形態によると、エッチング停止層42の形成は、化学気相成長法(CVD)、プラズマ化学気相成長法(PECVD)、物理気相成長法(PVD)、原子層堆積法(ALD)、またはそれらを組み合わせたものを用い、プラズマ強化シリコン窒化物(SiN)またはシリコン炭窒化物(SiCN)の層を堆積して行ってもよい。特定の実施形態において、エッチング停止層42の形成は、プラズマ強化シリコン窒化物を約20nm〜約50nmの厚さまで堆積して行うが、他の厚さであってもよい。エッチング停止層42は形成されると、後のコンタクトエッチング工程で下方のデバイス32,33を保護する。またエッチング停止層42は、シリコン窒化物が可動イオンに対する障壁として機能する範囲内で、可動イオンから保護する。しかしシリコン窒化物層42は、可動イオンがシームライン44でシリコン窒化物エッチング停止層を貫通できる範囲内で、デバイス32,33のゲッタリング保護機能の一部を与える。
図5は、図4に続く、エッチング停止層42の上方に間隙充填層52を堆積した半導体デバイス50の処理を示す。堆積した間隙充填層52は、基板31上に形成したデバイス部品32,33を互いに電気的に絶縁させる第1層間誘電体スタックの少なくとも一部を成す。本明細書に記載のとおり、第1層間誘電体スタック(ILD0)は、一つまたは複数の誘電体プレ金属層間誘電体層で形成される。この誘電体プレ金属層間誘電体層は、デバイス部品32,33の上方に形成された間隙充填層52を含み、約50nm〜約1000nm(約500Å〜約10000Å)の厚さとなるよう形成されるが、他の厚さであってもよい。各種実施形態よると、間隙充填層52の形成は、CVD、PECVD、PVD、ALD、またはそれらの組み合わせを用い、シリコン窒化物または他の誘電材料の層を等角的に堆積して行うが、所望の誘電材料を用いて行ってもよい。特定の実施形態において、間隙充填層52の形成に用いる材料は、空洞または金属ストリンガの短絡(上記)が形成されないよう、デバイス部品32,33間でアスペクト比が高い領域(たとえば、特にNVMアレイに見られる領域)を完全に充填するよう選択される。デバイス部品上の相当量の位相変化または間隙のアスペクト比の問題を抑えあるいはなくすだけでなく、堆積した間隙充填層52の平坦化が可能なため、ILD0基準層が形成される。ILD0基準層上には、以下に説明するように一つまたは複数のゲッタリング誘電体層(たとえば、BPTEOS層)を形成してもよい。特定の実施形態において、間隙充填層52の形成は、準常圧のテトラエチルオルトシリケート(SATEOS)を少なくとも約100nm〜約400nm(約1000Å〜約4000Å)の厚さに堆積して行われる。この厚さはデバイス部品間の領域を充填するのに十分な厚さであるが、他の厚さであってもよい。加えてまたは代替として、間隙充填層52は、低圧TEOS(LPTEOS)CVD、プラズマ強化TEOS(PETEOS)、CVDおよび/またはSiO、常圧TEOS(APTEOS)CVD、HDP BPTEOS、あるいはHDPプラズマ強化PTEOSで形成されてもよい。この場合、間隙充填層52を一つまたは複数のアニール工程で緻密化してもよいが、アニール工程は後の製造プロセスで適用されてもよい。図示していないが、適切な誘電材料(たとえば、PETEOS)を用いて間隙充填52の上方に安定研磨層を形成してもよい。間隙充填層52は形成されると、デバイス部品32,33間の領域をほぼ充填するILD0基準層を成すため、空洞またはコアの形成を抑えあるいはなくす。加えてまたは代替として、後の研磨工程で略平坦面が作製されるよう、間隙充填層52は十分な厚さになるまで堆積される。略平坦面上には、BPTEOS、BTEOS、および/またはPTEOSの材料のゲッタリング層を形成してもよい。
図6は、図5に続く、間隙充填層52を平坦化した半導体デバイス60の処理を示す。所望の平坦化工程を用いてもよいが、各種実施形態においては、ILD0平坦化工程を用いて間隙充填層52を平坦化する。ILD0平坦化工程は、間隙充填層52上に略平坦面62を形成するために化学的機械的研磨工程を用いるプロセスである。時間計測を行ったCMP工程により、エッチング停止層42の除去または露出なく、間隙充填層52の上方領域の材料を除去する。
図7は、図6に続く、第1ゲッタリング誘電体層72を堆積した半導体デバイス70の処理を示す。下層を可動イオンから保護する材料である限り、ゲッタリング誘電体層72の形成には所望の材料を用いてもよい。各種実施形態によると、ゲッタリング誘電体層72の形成は、CVD、PECVD、PVD、ALD、またはそれらの組み合わせを用い、BPTEOS、PTEOS、BTEOS、またはそれらを組み合わせた層を堆積して行ってもよい。特定の実施形態において、ゲッタリング誘電体層72の形成は、約10nm〜約100nm、より好ましくは20nm〜50nmの厚さまでBPTEOSを堆積して行うが、他の厚さであってもよい。BPTEOS層72は可動イオンを閉じ込めることができる。このためゲッタリング誘電体層72は、デバイス(たとえば、NVMメモリ)性能に作用し得る可動イオンに対するゲッタとして、効果的に機能する。この場合、ゲッタリング誘電体層72を一つまたは複数のアニール工程で高密度としてもよいが、アニール工程は後の製造プロセスで適用されてもよい。ゲッタリング誘電体層72は形成されると、下方のデバイス32,33を可動イオンから保護する。特に、ILD0平坦化工程後にゲッタリング膜層72を堆積することにより、ゲッタリング誘電体層72は可動イオンのゲッタリングに一層効果的な連続した層として形成される。また、間隙充填材とゲッタリング材料の間の界面が改善される。さらに開示の方法により、ILD0平坦化工程でILD0スタック(特に、間隙充填層52)を研磨する場合でさえ、傷のない連続したゲッタリング材料の層が生成される。
図8は、図7に続く、第2誘電体層82またはキャッピング誘電体層82を堆積した半導体デバイス80の処理を示す。キャッピング誘電体層82の形成には所望の材料を用いてもよい。本発明の各種実施形態においては、CVD、PECVD、PVD、ALD、またはそれらの組み合わせを用い、TEOS層を堆積することによって、キャッピング誘電体層82を形成する。特定の実施形態において、約50nm〜約500nm(約500Å〜約5000Å)、より好適には100nm(1000Å)の厚さまでPETEOSを堆積することによって別のキャッピング誘電体層82を形成するが、他の厚さであってもよい。TEOSなどの高密度誘電体層を形成した場合、別の誘電体層82は、後に形成される金属コンタクト領域を固着するための構造的な支持部となる。また別の誘電体層82は、後に形成される銅が下方のILD0層に拡散することを防止する銅拡散障壁機能部となってもよい。またTEOSキャップは、ゲッタリング膜が雰囲気にさらされないよう保護する。これは雰囲気下では、ゲッタリング材料の効果を低減させ得る他の不純物にさらされてしまうためである。
図9は、図8に続く、一つまたは複数の露出したデバイス部品に対して、一つまたは複数のコンタクト開口部92,94,96を形成した半導体デバイス90の処理を示す。図に示すとおり、各コンタクト開口部92,94,96はILD0スタックに対してエッチングされ、下方のデバイス部品の所望のコンタクト領域(たとえば、基板31またはデバイス部品32,33上のゲート電極に形成されたソース領域/ドレイン領域(不図示))上方でエッチング停止層42を露出させる。最先端の回路設計では、ソース領域/ドレイン領域上方のコンタクト開口部94の幅は、約50nm〜約300nm(約500Å〜約3000Å)、好適には約200nm(約2000Å)未満である。一般的なILD0スタックの高さは、デバイス部品32,33間の領域で約300nm〜約800nm(約3000Å〜約8000Å)、より好適には約500nm(約5000Å)である。将来の生成プロセス技術では一層高いアスペクト比が得られるであろうが、このようなデバイスのアスペクト比(高さと幅の比)は約1.5より大きく、4:1より高い。コンタクト開口部92,94,96の形成は、所望のフォトリソグラフィおよび/または選択的なエッチング技術により行ってもよい。たとえば、コンタクトホールが定義される(不図示)ゲッタリング誘電体層72および/または別の誘電体層82の上方に保護マスクまたはフォトレジスト層を堆積およびパターニングすること、さらにコンタクト開口部94を形成するために露出したILD0スタックを異方的にエッチングすること(たとえば、反応性イオンエッチング)によって、コンタクト開口部94を形成してもよい。他の実施形態においては、3段階のエッチング工程が用いられる。このエッチング工程では、選択したコンタクト領域(および/またはゲート電極)上方に形成したエッチング停止層42に達する前に、第2誘電体層82、ゲッタリング層72、および間隙充填層52の選択部分を除去する。多層マスキング技術を用いてコンタクト開口部92,94,96の位置を定義してもよいが、予備段階として、第2誘電体層82上に直接フォトレジスト層(不図示)を塗布およびパターニングしてもよい。コンタクト開口部92,94,96をエッチングするために適切なエッチング工程、たとえばO、N、またはフッ素含有ガスを用いたRIE(異方性反応性イオンエッチング)により、第2誘電体層82、ゲッタリング誘電体層72、および間隙充填層52の露出部分を除去する。たとえば、ILD0スタックの層82,72,52の誘電材料(たとえば、炭素をドープした酸化膜のエッチングに用いられるアルゴン、CHF、またはCFなどの化学的性質)に対して選択的に一つまたは複数のエッチング工程を用い、エッチング停止層42の露出部分までエッチングする。一つまたは複数のエッチング工程および/またはアッシング工程を用いて、残渣層を除去してもよい。
図7〜図9は、研磨した間隙充填層52上方にゲッタリング膜層72とアンカー層82を形成した特定の実施形態を示す。これらの層は、平坦化した間隙充填層52の上方に形成された一つのPTEOS層(不図示)で置き換え可能である。各種実施形態によると、一つのPTEOS層は、CVD、PECVD、PVD、ALD、またはそれらの組み合わせを用いて、リンをドープしたTEOSの層を等角的に堆積することによって形成される。このようなPTEOS層は比較的濃度が高いため、ゲッタリング機能と後に形成される金属コンタクト部を固定する固定機能とがいずれも得られる。
上記から分かるとおり、別の処理工程を用いて半導体デバイス90の製造を完成させ、機能性NVMデバイスとしてもよい。各種フロントエンドプロセス工程(犠牲酸化物の形成、ストリッピング、絶縁領域の形成、ゲート電極の形成、拡張注入、ハロー注入、スペーサの形成、ソース注入/ドレイン注入、アニーリング、シリサイドの形成、および研磨工程)に加え、別のバックエンドプロセス工程を行ってもよい。別のバックエンドプロセス工程としては、たとえばコンタクトプラグの形成および多層間の配線があり、所望の機能を得るために所望の様式でデバイス部品を接続するのに用いられる。このため、デバイス部品製造を完成させる特定の工程シーケンスは、プロセスおよび/または設計要件によって異なってもよい。
図10は、略均一な厚さのゲッタリング層を有するILD0スタックを形成するプロセス100を例として示すフロー図である。図に示すとおり、このプロセスはライン前工程(FEOL)後を示しており、プラズマ強化窒化物エッチング停止層(PEN ESL)の堆積など、エッチング停止層の形成によって開始する(ステップ101)。次にステップ102において、間隙充填誘電体層(たとえば、SATEOS、HDP PTEOS)を堆積することにより、ILD0スタックを形成する。このときCMPキャップ層を堆積してもよい。次にステップ103において、(たとえば、CMP工程により)間隙充填誘電体層を平坦化し、ステップ104において、ゲッタリング層(たとえば、BPTEOS)を堆積する。さらにステップ105において、バリア誘電体層(たとえば、PETEOS)を堆積する。しかし、ステップ104,106間のバイパス線で示すとおり、バリア誘電体の堆積工程をスキップしてもよい。ILD0スタックを形成すると、ILD0スタックに対して一つまたは複数のコンタクト開口部をエッチングする(ステップ106)。これにより、所望のコンタクト領域上方でエッチング停止層が露出し、これ以降は標準のBEOL処理を用いてデバイスを完成してもよい。
上記では、半導体構造上に第1層間誘電体スタックを形成する方法を提供した。一態様において、第1層間誘電体スタックの形成は、半導体構造上に形成した複数のデバイス部品(たとえば、NVMトランジスタデバイス)の上方に、エッチング停止層(たとえば、プラズマ強化窒化物が堆積された層)を形成することによって行われる。次に、デバイス部品間の領域を充填するために、(たとえば、SATEOS層またはHDP PTEOS層を堆積することによって)エッチング停止層の上方に誘電体間隙充填層を形成する。その後、誘電体間隙充填層を(たとえば、CMP工程により)略平坦面になるまで平坦化する。誘電体間隙充填層の平坦化を行うとき安定研磨キャップ層および誘電体間隙充填層が平坦化されるよう、平坦化工程前に、誘電体間隙充填層の上方に安定研磨キャップ層を形成してもよい。略平坦面上にBPTEOS層、BTEOS層、PTEOS層、またはそれらの組み合わせを堆積することにより、誘電体ゲッタリング層を形成する。また、誘電体ゲッタリング層の上方に誘電体キャッピング層(たとえば、PETEOS)を形成してもよい。第1層間誘電体スタック(ILD0)を形成すると、一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域の上方にエッチング停止層を露出させるために、誘電体ゲッタリング層と誘電体間隙充填層とを選択的にエッチングしてもよい。さらに、コンタクト領域を露出させるために、露出したエッチング停止層を選択的にエッチングする。
他の態様において、複数のデバイス部品が形成される半導体デバイスを作製する方法およびシステムが提供される。開示のとおり、複数のデバイス部品間の領域を充填するために、複数のデバイス部品の上方に誘電体層を堆積することにより間隙充填層を形成する。デバイス部品を保護するために、間隙充填層の形成前に、複数のデバイス部品の上方にエッチング停止層を形成してもよい。複数のデバイス部品間の領域を充填するために複数のデバイス部品の上方にSATEOS層またはHDPをドープしたTEOS層を堆積することによって、間隙充填層を部分的に形成してもよい。また間隙充填層は、誘電体層上方に堆積した安定研磨キャップ層を含んでもよい。間隙充填層を(たとえば、化学的機械的研磨工程により平坦化することにより)略平坦面になるまで研磨した後、間隙充填層の略平坦面の上方にゲッタリング層を堆積する。間隙充填層の略平坦面の上方にBPTEOS層、PTEOS層、BTEOS層、またはそれらの組み合わせを堆積することによって、ゲッタリング層を部分的に形成してもよい。たとえば、間隙充填層の略平坦面の上方に一つまたは複数のドープしたTEOS層を堆積すること、さらに、一つまたは複数のドープしたTEOS層の上方にTEOSまたはプラズマによるTEOSのアンカー層を堆積することによりゲッタリング層を形成してもよい。形成後、一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域を露出させるために、ゲッタリング層と間隙充填層誘電体層とを選択的にエッチングしてもよい。
さらに他の態様において、複数のデバイス部品を被覆するために、また複数のデバイス部品間の領域を充填するために、複数のデバイス部品の上方に平坦化した間隙充填層を形成することにより第1層間誘電体スタックを形成する方法およびシステムが提供される。特定の実施形態において、複数のデバイス部品間の領域を充填するために複数のデバイス部品の上方にSATEOS層またはHDPをドープしたTEOS層を堆積すること、さらに、SATEOS層またはHDPをドープしたTEOS層を略平坦面になるまで研磨することによって、平坦化した間隙充填層を形成してもよい。平坦化した間隙充填層の上方に、一つまたは複数のゲッタリング層を堆積する。これにより、一つまたは複数のゲッタリング層と平坦化した間隙充填層とに対して開口部を選択的にエッチングし、一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域を露出させてもよい。特定の実施形態においては、平坦化した間隙充填層の上方に一つまたは複数のドープしたTEOS層を堆積すること、さらに、一つまたは複数のドープしたTEOS層の上方にTEOSまたはプラズマ強化TEOSのアンカー層を堆積することにより、ゲッタリング層を形成する。
本明細書に記載の実施形態は、さまざまな半導体デバイス構造およびその生成方法に関する。しかし、本発明は多様な半導体プロセスおよび/または半導体デバイスに適用可能な本発明の発明態様を示すこれらの実施形態に必ずしも制限されない。たとえば、高アスペクト比(間隔に対する高さ)の構造物間の間隙を充填するために、およびコンタミネーション保護のために、本発明の特定の実施形態を用いてもよい。また本発明の特定の実施形態を、MOSFET、DRAM、NVM、またはバイポーラデバイスに限らず、他の種類の半導体デバイスに用いてもよい。本発明は、本明細書の開示から利点を得る当業者に明確な様式かつ異なるが同等の様式で変更および実施が可能である。すなわち、特に上記で開示した実施形態は単に実例であり、本発明に対する制限として解釈されるべきではない。たとえば本発明の方法を、本明細書に明示したもの以外の材料を用いて適用してもよい。また本発明は、本明細書に記載した特定の種類の集積回路に限定されない。したがって、上記の説明は本発明を特定の態様に限定することを意図してはいない。反対に、上記の説明は添付の特許請求の範囲に定義される精神と範囲内の変形例、変更例、および同等物を包含することを意図している。このため、本発明の最大限広範な態様において、本発明の精神および範囲内でさまざまな変更、置換、修正が可能であることを当業者には理解されたい。
特有の実施形態についての利点、他の効果、および問題の解決策について説明しているが、利点、効果、または解決策を生み、より明白にし得る利点、効果、問題の解決策、および要素は、特許請求の範囲の一部または全体で重要な、または必要な、または必須の特徴もしくは要素として構成されるものとする。本明細書において「を含む」、「からなる」という語、およびそれらが変形した語は、非排他的な含有を意図している。すなわち、要素のリストを含むプロセス、方法、物品、または装置はこれらの要素を含むだけでなく、リストに明示していない要素、またはそのようなプロセス、方法、物品、または装置に内在する要素を含んでもよい。

Claims (20)

  1. 半導体構造上に第1層間誘電体を形成するための方法において、
    前記半導体構造上に複数のデバイス部品を形成するデバイス部品形成工程と、
    前記複数のデバイス部品の上方にエッチング停止層を形成するエッチング停止層形成工程と、
    前記複数のデバイス部品の間の領域を充填するために、前記エッチング停止層の上方に誘電体間隙充填層を形成する誘電体間隙充填層形成工程と、
    前記誘電体間隙充填層を略平坦面になるまで平坦化する平坦化工程と、
    前記誘電体間隙充填層の略平坦面の上方に誘電体ゲッタリング層を形成する誘電体ゲッタリング層形成工程と、
    一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域の上方で前記エッチング停止層を露出させるために、前記誘電体ゲッタリング層と前記誘電体間隙充填層とを選択的にエッチングするエッチング工程と
    を備える方法。
  2. 前記エッチング停止層形成工程は、前記複数のデバイス部品の上方にプラズマ窒化物の層を堆積する工程を備える、請求項1に記載の方法。
  3. 前記誘電体間隙充填層形成工程は、前記複数のデバイス部品の間の領域を充填するために、SATEOS層またはHDP PTEOS層を堆積する工程を備える、請求項1に記載の方法。
  4. 前記誘電体間隙充填層形成工程は、前記誘電体間隙充填層を略平坦な面に平坦化するために、化学的機械的研磨工程を使用する工程を備える、請求項1に記載の方法。
  5. 前記誘電体ゲッタリング層形成工程は、可動イオンバリア層を設けるために、誘電体間隙充填層の略平坦面の上方にBPTEOS層、PTEOS層、BTEOS層、またはそれらを組み合わせたものを堆積する工程を含む、請求項1に記載の方法。
  6. 前記誘電体ゲッタリング層を選択的にエッチングする前に、前記誘電体ゲッタリング層の上方に誘電体キャッピング層を形成する誘電体キャッピング層形成工程をさらに備える、請求項1に記載の方法。
  7. 前記誘電体キャッピング層形成工程は、前記誘電体ゲッタリング層の上方にプラズマテトラエチルオルトシリケート(PETEOS)層を堆積する工程を備える、請求項6に記載の方法。
  8. 一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域を露出させるために、露出した前記エッチング停止層を選択的にエッチングする工程をさらに備える、請求項1に記載の方法。
  9. 前記誘電体間隙充填層を平坦化するときに安定研磨キャップ層と誘電体間隙充填層とが平坦化されるように、前記誘電体間隙充填層の上方に安定研磨キャップ層を形成する安定研磨キャップ層形成工程をさらに備える、請求項1に記載の方法。
  10. 半導体デバイスの製造方法であって、
    半導体構造上に複数のデバイス部品を形成するデバイス部品形成工程と、
    前記複数のデバイス部品の間の領域を充填するために、前記複数のデバイス部品の上方に誘電体層を堆積することによって間隙充填層を形成する間隙充填層形成工程と、
    前記間隙充填層を略平坦面になるまで研磨する間隙充填層研磨工程と、
    前記間隙充填層の略平坦面の上方にゲッタリング層を堆積するゲッタリング層堆積工程と
    を備える、製造方法。
  11. 前記間隙充填層形成工程は、前記誘電体層の上方に安定研磨キャップ層を形成する工程を備える、請求項10に記載の製造方法。
  12. 前記間隙充填層形成工程は、前記複数のデバイス部品間の領域を充填するために、前記複数のデバイス部品の上方にSATEOS層またはHDPをドープしたTEOS層を堆積する工程を備える、請求項10に記載の製造方法。
  13. 前記間隙充填層研磨工程は、化学的機械的研磨工程により前記間隙充填層を平坦化する工程を備える、請求項10に記載の製造方法。
  14. 前記ゲッタリング層堆積工程は、前記間隙充填層の前記略平坦面の上方に、BPTEOS層、PTEOS層、BTEOS層、またはそれらを組み合わせたものを堆積する工程を備える、請求項10に記載の製造方法。
  15. 前記ゲッタリング層形成工程は、
    前記間隙充填層の前記略平坦面の上方に一つまたは複数のドープしたTEOS層を堆積する工程と、
    前記一つまたは複数のドープしたTEOS層の上方に、TEOSまたはプラズマ強化TEOSのアンカー層を堆積する工程と
    を備える、請求項10に記載の製造方法。
  16. 前記間隙充填層の形成前に、前記複数のデバイス部品の上方にエッチング停止層を形成する工程をさらに含む、請求項10に記載の製造方法。
  17. 一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域を露出させるために、前記ゲッタリング層と前記間隙充填層とを選択的にエッチングする工程をさらに備える、請求項10に記載の製造方法。
  18. 第1層間誘電体スタックを形成するための方法であって、
    複数のデバイス部品を被覆するために、かつ前記複数のデバイス部品の間の領域を充填するために、前記複数のデバイス部品の上方に平坦化した間隙充填層を形成する平坦化間隙充填層形成工程と、
    その後、前記平坦化した間隙充填層の上方に一つまたは複数のゲッタリング層を堆積するゲッタリング層堆積工程と、
    その後、一つまたは複数のデバイス部品内の一つまたは複数のコンタクト領域を露出させるために、一つまたは複数のゲッタリング層と前記平坦化間隙充填層とに選択的に開口部をエッチングするエッチング工程と
    を含む方法。
  19. 前記平坦化間隙充填層形成工程は、前記複数のデバイス部品間の領域を充填するために、前記複数のデバイス部品の上方にSATEOS層またはHDPをドープしたTEOS層を堆積する工程と、
    前記SATEOS層またはHDPをドープしたTEOS層を略平坦面になるまで研磨する工程と
    を備える、請求項18に記載の方法。
  20. 前記ゲッタリング層堆積工程は、前記平坦化した間隙充填層の上方に一つまたは複数のドープしたTEOS層を堆積する工程と、
    前記一つまたは複数のドープしたTEOS層の上方にTEOSまたはプラズマ強化TEOSのアンカー層を堆積する工程と
    を備える、請求項18に記載の方法。
JP2010502176A 2007-04-05 2008-03-12 不揮発性メモリの第1層間誘電体スタック Pending JP2010524237A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/697,106 US8435898B2 (en) 2007-04-05 2007-04-05 First inter-layer dielectric stack for non-volatile memory
PCT/US2008/056562 WO2008124240A1 (en) 2007-04-05 2008-03-12 A first inter-layer dielectric stack for non-volatile memory

Publications (2)

Publication Number Publication Date
JP2010524237A true JP2010524237A (ja) 2010-07-15
JP2010524237A5 JP2010524237A5 (ja) 2011-04-21

Family

ID=39827325

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010502176A Pending JP2010524237A (ja) 2007-04-05 2008-03-12 不揮発性メモリの第1層間誘電体スタック

Country Status (7)

Country Link
US (1) US8435898B2 (ja)
EP (1) EP2135274A4 (ja)
JP (1) JP2010524237A (ja)
KR (1) KR20100014714A (ja)
CN (1) CN101647105B (ja)
TW (1) TWI440088B (ja)
WO (1) WO2008124240A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7579282B2 (en) * 2006-01-13 2009-08-25 Freescale Semiconductor, Inc. Method for removing metal foot during high-k dielectric/metal gate etching
JP2010283145A (ja) * 2009-06-04 2010-12-16 Sony Corp 固体撮像素子及びその製造方法、電子機器
CA2787222C (en) * 2010-01-14 2017-12-12 Basf Se Method for producing expandable granulates containing polylactic acid
US9269634B2 (en) * 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
US8519482B2 (en) * 2011-09-28 2013-08-27 Globalfoundries Singapore Pte. Ltd. Reliable contacts
US8895441B2 (en) * 2012-02-24 2014-11-25 Lam Research Corporation Methods and materials for anchoring gapfill metals
US9153486B2 (en) * 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
EP2884666B1 (en) * 2013-12-10 2019-01-02 IMEC vzw FPGA device with programmable interconnect in back end of line portion of the device.
KR102125749B1 (ko) 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9202746B2 (en) * 2013-12-31 2015-12-01 Globalfoundries Singapore Pte. Ltd. Integrated circuits with improved gap fill dielectric and methods for fabricating same
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9378963B2 (en) * 2014-01-21 2016-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact and method of forming the same
CN105097851A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种cmos图像传感器及其制造方法和电子装置
US9378968B2 (en) * 2014-09-02 2016-06-28 United Microelectronics Corporation Method for planarizing semiconductor device
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9773682B1 (en) 2016-07-05 2017-09-26 United Microelectronics Corp. Method of planarizing substrate surface
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
CN111490005A (zh) * 2020-05-26 2020-08-04 上海华虹宏力半导体制造有限公司 间隙填充方法、闪存的制作方法及半导体结构

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06216096A (ja) * 1992-10-20 1994-08-05 Toshiba Corp 半導体装置とその製造方法および研磨方法ならびに研磨装置および研磨装置の研磨面の再生方法
JP2000150637A (ja) * 1998-11-04 2000-05-30 Toshiba Corp 半導体装置及びその製造方法
JP2003273098A (ja) * 2002-03-19 2003-09-26 Fujitsu Ltd 低誘電率膜形成用組成物、低誘電率膜及びその製造方法、並びに半導体装置
JP2003282702A (ja) * 2002-03-26 2003-10-03 Fujitsu Ltd 半導体装置及びその製造方法
JP2004517467A (ja) * 2000-08-29 2004-06-10 アトメル・コーポレイション 半導体基板上でプリメタル誘電体膜を形成するための方法
JP2006186012A (ja) * 2004-12-27 2006-07-13 Renesas Technology Corp 半導体装置の製造方法
JP2006237082A (ja) * 2005-02-22 2006-09-07 Renesas Technology Corp 半導体装置の製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0507881A1 (en) 1990-01-04 1992-10-14 International Business Machines Corporation Semiconductor interconnect structure utilizing a polyimide insulator
JP2809018B2 (ja) * 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
US5952243A (en) 1995-06-26 1999-09-14 Alliedsignal Inc. Removal rate behavior of spin-on dielectrics with chemical mechanical polish
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US6066555A (en) * 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5783482A (en) * 1997-09-12 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent oxide peeling induced by sog etchback on the wafer edge
US6080639A (en) 1998-11-25 2000-06-27 Advanced Micro Devices, Inc. Semiconductor device containing P-HDP interdielectric layer
JP3911585B2 (ja) * 1999-05-18 2007-05-09 富士通株式会社 半導体装置およびその製造方法
US6734108B1 (en) 1999-09-27 2004-05-11 Cypress Semiconductor Corporation Semiconductor structure and method of making contacts in a semiconductor structure
US6461963B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6514882B2 (en) 2001-02-19 2003-02-04 Applied Materials, Inc. Aggregate dielectric layer to reduce nitride consumption
KR100620181B1 (ko) * 2004-07-12 2006-09-01 동부일렉트로닉스 주식회사 플래시 메모리 셀 트랜지스터의 제조 방법
KR100572329B1 (ko) * 2004-09-07 2006-04-18 삼성전자주식회사 소자분리막 형성 방법 및 이를 이용한 반도체 소자 형성방법
KR100640628B1 (ko) * 2005-01-10 2006-10-31 삼성전자주식회사 반도체 소자의 자기정렬 콘택 플러그 형성 방법
US20060205219A1 (en) * 2005-03-08 2006-09-14 Baker Arthur R Iii Compositions and methods for chemical mechanical polishing interlevel dielectric layers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06216096A (ja) * 1992-10-20 1994-08-05 Toshiba Corp 半導体装置とその製造方法および研磨方法ならびに研磨装置および研磨装置の研磨面の再生方法
JP2000150637A (ja) * 1998-11-04 2000-05-30 Toshiba Corp 半導体装置及びその製造方法
JP2004517467A (ja) * 2000-08-29 2004-06-10 アトメル・コーポレイション 半導体基板上でプリメタル誘電体膜を形成するための方法
JP2003273098A (ja) * 2002-03-19 2003-09-26 Fujitsu Ltd 低誘電率膜形成用組成物、低誘電率膜及びその製造方法、並びに半導体装置
JP2003282702A (ja) * 2002-03-26 2003-10-03 Fujitsu Ltd 半導体装置及びその製造方法
JP2006186012A (ja) * 2004-12-27 2006-07-13 Renesas Technology Corp 半導体装置の製造方法
JP2006237082A (ja) * 2005-02-22 2006-09-07 Renesas Technology Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US20080248649A1 (en) 2008-10-09
EP2135274A4 (en) 2011-07-27
CN101647105A (zh) 2010-02-10
KR20100014714A (ko) 2010-02-10
CN101647105B (zh) 2012-07-04
TW200849386A (en) 2008-12-16
WO2008124240A1 (en) 2008-10-16
TWI440088B (zh) 2014-06-01
US8435898B2 (en) 2013-05-07
EP2135274A1 (en) 2009-12-23

Similar Documents

Publication Publication Date Title
JP2010524237A (ja) 不揮発性メモリの第1層間誘電体スタック
US10418277B2 (en) Air gap spacer formation for nano-scale semiconductor devices
US6573168B2 (en) Methods for forming conductive contact body for integrated circuits using dummy dielectric layer
CN108649033B (zh) 半导体器件及其制造方法
US20180337113A1 (en) Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
US8174064B2 (en) Semiconductor device and method for forming the same
US11101216B2 (en) Metal line structure and method
US20120052643A1 (en) Method for fabricating semiconductor device
TWI769611B (zh) 半導體結構及其製造方法
US20190221570A1 (en) Semiconductor device and method for fabricating the same
KR100800680B1 (ko) 반도체 소자의 층간 절연막 형성 방법
CN116779530A (zh) 半导体结构及其制作方法
US20200303247A1 (en) Semiconductor structures with a protective liner and methods of forming the same
CN103811538A (zh) 具有器件收益和生产率改进的金属栅极结构
US7271431B2 (en) Integrated circuit structure and method of fabrication
KR100924880B1 (ko) 반도체 소자의 다층 배선 형성 방법
US20070049006A1 (en) Method for integration of a low-k pre-metal dielectric
US10304692B1 (en) Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits
CN112542506A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110304

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110304

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130612

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131203