JP2009503889A5 - - Google Patents

Download PDF

Info

Publication number
JP2009503889A5
JP2009503889A5 JP2008524962A JP2008524962A JP2009503889A5 JP 2009503889 A5 JP2009503889 A5 JP 2009503889A5 JP 2008524962 A JP2008524962 A JP 2008524962A JP 2008524962 A JP2008524962 A JP 2008524962A JP 2009503889 A5 JP2009503889 A5 JP 2009503889A5
Authority
JP
Japan
Prior art keywords
introducing
dielectric layer
substrate
containing gas
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008524962A
Other languages
English (en)
Other versions
JP2009503889A (ja
Filing date
Publication date
Priority claimed from US11/195,854 external-priority patent/US7279427B2/en
Application filed filed Critical
Publication of JP2009503889A publication Critical patent/JP2009503889A/ja
Publication of JP2009503889A5 publication Critical patent/JP2009503889A5/ja
Pending legal-status Critical Current

Links

Claims (14)

  1. 基板からエッチング残渣を除去する方法であって:
    誘電体層を有する前記基板をプラズマ処理システム内に配置する段階であり、該誘電体層は、その内部に、エッチングプロセスを用いて形成された造形部を有し、且つ該造形部は、その上に、前記エッチングプロセスによって形成された前記エッチング残渣を有する、段階;
    窒素含有ガス、水素含有ガス及び酸素含有ガスを含むプロセスガスを導入する段階であり、該酸素含有ガスは、O、CO、若しくはCO、又はこれらの組み合わせを含む、段階;
    前記プラズマ処理システム内で前記プロセスガスからプラズマを形成する段階;及び
    前記エッチング残渣を除去するために前記プラズマに前記基板を晒す段階;
    を有し、
    前記誘電体層はSiOの誘電率より低い誘電率を有する、方法。
  2. 前記プロセスガスを導入する段階は更に、不活性ガスを導入する段階を有する、請求項1に記載の方法。
  3. 前記不活性ガスを導入する段階は、希ガスを導入することを有する、請求項2に記載の方法。
  4. 前記窒素含有ガス及び前記水素含有ガスを導入する段階は、NHを導入することを有する、請求項1に記載の方法。
  5. 前記窒素含有ガス及び前記水素含有ガスを導入する段階は、N及びHを導入することを有する、請求項1に記載の方法。
  6. 前記プロセスガスを導入する段階は、NH及びCOを導入することを有する、請求項1に記載の方法。
  7. 前記プロセスガスを導入する段階は、NH及びOを導入することを有する、請求項1に記載の方法。
  8. 前記誘電体層は多孔質誘電体層を有する、請求項1に記載の方法。
  9. 前記誘電体層は2.5未満の誘電率を有する、請求項1に記載の方法。
  10. 前記プラズマを形成する段階は、前記基板が置かれた基板ホルダーにRF電力を結合させることを有する、請求項1に記載の方法。
  11. 基板からエッチング残渣を除去する方法であって:
    誘電体層を有する前記基板をプラズマ処理システム内に配置する段階であり、該誘電体層は、その内部に、エッチングプロセスを用いて形成された造形部を有し、該造形部は、その上に、前記エッチングプロセスによって形成された前記エッチング残渣を有し、且つ前記誘電体層はSiOの誘電率より低い誘電率を有する、段階;
    窒素含有ガス、水素含有ガス及び酸素含有ガスを含むプロセスガスを導入する段階であり、該酸素含有ガスは、CO、若しくはCO、又はこれら双方を含む、段階;
    前記プラズマ処理システム内で前記プロセスガスからプラズマを形成する段階;及び
    前記誘電体層の誘電率に実質的な変化を生じさせずに前記エッチング残渣を除去するために前記プラズマに前記基板を晒す段階;
    を有する方法。
  12. 前記誘電体層を有する前記基板を配置する段階は、前記誘電体層と該誘電体層の下に位置するエッチング停止層とを有する前記基板を配置することを有する、請求項11に記載の方法。
  13. 前記エッチング停止層は窒化シリコン又は炭化シリコンから成る、請求項12に記載の方法。
  14. 前記プラズマに前記基板を晒す段階は、前記エッチング停止層の厚さのおよそ10%未満の、前記エッチング停止層の喪失を生じさせる、請求項12に記載の方法。

JP2008524962A 2005-08-03 2006-05-24 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム Pending JP2009503889A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/195,854 US7279427B2 (en) 2005-08-03 2005-08-03 Damage-free ashing process and system for post low-k etch
PCT/US2006/019914 WO2007018678A2 (en) 2005-08-03 2006-05-24 Damage-free ashing process and system for post low-k etch

Publications (2)

Publication Number Publication Date
JP2009503889A JP2009503889A (ja) 2009-01-29
JP2009503889A5 true JP2009503889A5 (ja) 2009-03-26

Family

ID=37718178

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008524962A Pending JP2009503889A (ja) 2005-08-03 2006-05-24 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム

Country Status (6)

Country Link
US (1) US7279427B2 (ja)
JP (1) JP2009503889A (ja)
KR (1) KR20080034001A (ja)
CN (1) CN100595891C (ja)
TW (1) TWI336107B (ja)
WO (1) WO2007018678A2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
CN101762993B (zh) * 2008-12-25 2012-01-25 中芯国际集成电路制造(上海)有限公司 光刻胶的去除方法及连接孔的制造方法
CN101930179B (zh) * 2009-06-19 2012-08-22 中芯国际集成电路制造(上海)有限公司 钝化光刻胶表面的方法以及光刻方法
US7637269B1 (en) 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
CN102142393B (zh) * 2010-01-28 2013-07-03 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103187360B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
CN103871961B (zh) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103871959B (zh) 2012-12-17 2017-11-03 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103871962B (zh) 2012-12-18 2017-12-29 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9190317B2 (en) 2013-01-10 2015-11-17 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication method thereof
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9385000B2 (en) 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
CN103943555B (zh) * 2014-04-28 2016-11-02 上海华力微电子有限公司 一种有源区制备方法
US10312075B2 (en) * 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US10199223B2 (en) * 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
CN109742019B (zh) * 2019-01-21 2019-10-01 广东工业大学 一种利用紫外激光加工干法刻蚀中硬掩膜板的方法
JP7296093B2 (ja) * 2019-02-04 2023-06-22 国立大学法人東海国立大学機構 窒化炭素膜の製造方法および窒化炭素被覆体の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3193265B2 (ja) 1995-05-20 2001-07-30 東京エレクトロン株式会社 プラズマエッチング装置
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
JP4434950B2 (ja) * 2002-08-22 2010-03-17 ダイキン工業株式会社 剥離液
JP2004158691A (ja) * 2002-11-07 2004-06-03 Yac Co Ltd レジスト除去方法
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP4594235B2 (ja) 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7176141B2 (en) * 2004-09-07 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics

Similar Documents

Publication Publication Date Title
JP2009503889A5 (ja)
KR102403102B1 (ko) 반도체 처리 장치
TW540114B (en) Substrate cleaning apparatus and method
TWI352387B (en) Etch methods to form anisotropic features for high
KR101691717B1 (ko) 다중 막층을 갖는 스페이서를 형성하기 위한 에칭 방법
TWI624024B (zh) 用於預清洗導電互連結構之方法
TW475201B (en) Multiple stage process for cleaning process chambers
TWI631616B (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
CA2583683A1 (en) Surface reconstruction method for silicon carbide substrate
JP2009531857A5 (ja)
WO2007120573A3 (en) Plasma dielectric etch process including ex-situ backside polumer removal for low-dielectric constant material
TW466266B (en) Gas for removing deposit and removal method using same
WO2012122052A3 (en) Methods for contact clean
WO2006104819A3 (en) A method and system for removing an oxide from a substrate
WO2013002899A1 (en) Dielectric recovery of plasma damaged low-k films by uv-assisted photochemical deposition
JP2006528418A5 (ja)
JP6352611B2 (ja) プラズマエッチングの方法
KR20150036086A (ko) 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
JP2005109492A (ja) 処理室の清掃方法
JP2006148122A (ja) 半導体基板上の金属構造から残留物を除去するための方法
JP2006003684A (ja) 基板レスフィルタの製造方法
WO2008118840A3 (en) Method of manufacturing metal silicide contacts
JP2007116167A (ja) 特徴画成部を形成する方法
KR20190018753A (ko) 웨이퍼 베벨 상의 실리사이드 형성의 완화
TW200501176A (en) Method for forming metal lines