JP2008532331A5 - ガス分配装置及び基板処理チャンバ - Google Patents

ガス分配装置及び基板処理チャンバ Download PDF

Info

Publication number
JP2008532331A5
JP2008532331A5 JP2008500824A JP2008500824A JP2008532331A5 JP 2008532331 A5 JP2008532331 A5 JP 2008532331A5 JP 2008500824 A JP2008500824 A JP 2008500824A JP 2008500824 A JP2008500824 A JP 2008500824A JP 2008532331 A5 JP2008532331 A5 JP 2008532331A5
Authority
JP
Japan
Prior art keywords
gas
distribution device
gas distribution
inclined step
central
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008500824A
Other languages
English (en)
Other versions
JP4964223B2 (ja
JP2008532331A (ja
Filing date
Publication date
Priority claimed from US11/075,527 external-priority patent/US7722719B2/en
Application filed filed Critical
Publication of JP2008532331A publication Critical patent/JP2008532331A/ja
Publication of JP2008532331A5 publication Critical patent/JP2008532331A5/ja
Application granted granted Critical
Publication of JP4964223B2 publication Critical patent/JP4964223B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

[0026]図7A及び図7Bは、本発明の実施形態に従うガス分配装置段部の横断面図である。図7Aにおいて、ガス分配装置の段部は、ガス分配装置面で、トレッド部とライザ部とを含む。ライザ部は、トレッド部に対して直角、又は、好ましくは角度710でもよい。角度710は、約90度から約180度の範囲が可能である。特定の実施形態において、角度710は、約45度である。ガス分配装置面上のアパーチャは、段部のライザ部に配置されている。アパーチャは、ライザ部に対し直角であるか、或いは、図7Bに描写されているように、角度720である。(ライザ部に対し直角に孔を有することにより、小さな振動で、より正確な孔の機械加工を行う)例えば、角度720は、約15度から約120度までの範囲が可能である。

Claims (15)

  1. 処理チャンバ内で使用されるガス分配装置であって、
    ガス供給に結合されるように構成されたねじ部を有する本体であって、前記本体はまた遠位面及び前記ねじ部と前記遠位面との間に延びる中央部を有し、前記本体はガス入口と、複数のガス出口と、前記複数のガス出口に前記ガス入口を連結する前記ねじ部と前記中央部を通って延びるガス通路とを更に含み、前記本体はねじ部と中央部との間に配置された側座部を含み、前記側座部は前記本体から前記側座部の外周へと外側に延び、ここで前記中央部は前記本体から前記遠位面に向かって前記側座部の外周から外側に延びる凹形に湾曲したガス偏向表面を含み、及び前記遠位面は中央平坦部と傾斜した段部表面により前記中央平坦部から隔てられた凹んだ周辺平坦部とを有する連続した表面を含み、及び前記中央平坦部は前記遠位の中心から前記傾斜した段部表面へと放射状に延び且つその直径は.01から3インチであり、そして前記凹んだ周辺平坦部は前記中央平坦部に対して凹んでおり及び前記複数のガス出口は前記傾斜した段部表面に配置される、前記本体
    を備える、前記ガス分配装置。
  2. 前記ガス分配装置は、単体である、請求項1に記載のガス分配装置。
  3. 前記ガス分配装置は、酸化アルミニウム、窒化アルミニウム、サファイヤ、炭化珪素のうち少なくとも一つを備える、請求項1に記載のガス分配装置。
  4. 前記傾斜した段部表面は、前記遠位面で円を形成し、前記複数のガス出口は、前記傾斜した段部表面の周りに均等に分布されている、請求項1に記載のガス分配装置。
  5. 前記ガス出口での前記ガス通路の軸方向が、前記傾斜した段部表面の傾斜に対し垂直である、請求項1に記載のガス分配装置。
  6. 前記傾斜した段部表面の傾斜は、0度から80度の範囲にある、請求項1に記載のガス分配装置。
  7. 前記ガス出口での前記ガス通路の軸方向と、前記傾斜した段部表面とのなす角度が、120度から15度の範囲にある、請求項1に記載のガス分配装置。
  8. 前記凹形に湾曲したガス偏向表面と前記遠位面との間に延びる少なくとも一つの洗浄ガス通路を更に備える、請求項1に記載のガス分配装置。
  9. 前記複数のガス出口は、少なくとも8個のアパーチャを含む、請求項1に記載のガス分配装置。
  10. 第2の傾斜した段部表面と、前記第2の傾斜した段部表面を通る少なくとも第2の複数のガス出口とを更に備える、請求項1に記載のガス分配装置。
  11. 基板処理チャンバであって、
    天井と側壁とを有する包囲体と、
    基板を支持可能な基板支持体と、
    前記基板支持体の上方で中央に位置決めされ且つ前記天井から前記基板支持体に向かって延びるガス分配装置であって、ガス供給に結合されるように構成されたねじ部を有する本体を備え、前記本体はまた遠位面及び前記ねじ部と前記遠位面との間に延びる中央部を有し、前記本体はガス入口と、複数のガス出口と、前記複数のガス出口に前記ガス入口を連結する前記ねじ部と前記中央部を通って延びるガス通路とを更に含み、前記本体はねじ部と中央部との間に配置された側座部を含み、前記側座部は前記本体から前記側座部の外周へと外側に延び、ここで前記中央部は前記本体から前記遠位面に向かって前記側座部の外周から外側に延びる凹形に湾曲したガス偏向表面を含み、及び前記遠位面は中央平坦部と傾斜した段部表面により前記中央平坦部から隔てられた凹んだ周辺平坦部とを有する連続した表面を含み、及び前記中央平坦部は前記遠位の中心から前記傾斜した段部表面へと放射状に延び且つその直径は.01から3インチであり、そして前記凹んだ周辺平坦部は前記中央平坦部に対して凹んでおり及び前記複数のガス出口は前記傾斜した段部表面に配置される、前記ガス分配装置と、
    を備える、前記基板処理チャンバ。
  12. 前記本体の一部の周りに配置され且つ凹形に湾曲したガス偏向表面に向かってガスを導くように適合された環状ガス通路を画成する最上部ベントを更に備える、請求項11に記載の基板処理チャンバ。
  13. 前記ガス分配装置は、単体である、請求項11に記載の基板処理チャンバ。
  14. 前記ガス分配装置は、酸化アルミニウム、窒化アルミニウムのうち少なくとも一つを備える、請求項11に記載の基板処理チャンバ。
  15. 前記ガス出口での前記ガス通路の軸方向と、前記傾斜した段部表面とのなす角度が、120度から15度の範囲にある、請求項11に記載の基板処理チャンバ。
JP2008500824A 2005-03-07 2006-03-06 ガス分配装置及び基板処理チャンバ Active JP4964223B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/075,527 US7722719B2 (en) 2005-03-07 2005-03-07 Gas baffle and distributor for semiconductor processing chamber
US11/075,527 2005-03-07
PCT/US2006/008003 WO2006096674A1 (en) 2005-03-07 2006-03-06 Gas baffle and distributor for semiconductor processing chamber

Publications (3)

Publication Number Publication Date
JP2008532331A JP2008532331A (ja) 2008-08-14
JP2008532331A5 true JP2008532331A5 (ja) 2012-03-22
JP4964223B2 JP4964223B2 (ja) 2012-06-27

Family

ID=36569974

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008500824A Active JP4964223B2 (ja) 2005-03-07 2006-03-06 ガス分配装置及び基板処理チャンバ

Country Status (6)

Country Link
US (1) US7722719B2 (ja)
JP (1) JP4964223B2 (ja)
KR (1) KR100919538B1 (ja)
CN (1) CN101138065B (ja)
TW (1) TWI343593B (ja)
WO (1) WO2006096674A1 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
CN104073778B (zh) * 2006-10-24 2017-08-25 应用材料公司 用于原子层沉积的涡流室盖
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP2011500961A (ja) * 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
TWI364126B (en) * 2007-11-23 2012-05-11 Ind Tech Res Inst Plasma assisted apparatus for forming organic film
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100941073B1 (ko) * 2007-12-27 2010-02-09 세메스 주식회사 탑 노즐 및 기판 처리 장치
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
EP2271587A1 (en) * 2008-03-26 2011-01-12 GT Solar Incorporated Gold-coated polysilicon reactor system and method
KR101623458B1 (ko) * 2008-03-26 2016-05-23 지티에이티 코포레이션 화학 증착 반응기의 가스 분배 시스템 및 방법
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN102965615A (zh) * 2011-08-30 2013-03-13 无锡华润上华科技有限公司 一种pvd加工中使用的腔体以及pvd加工方法
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN104103483B (zh) * 2013-04-10 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及等离子体加工设备
CN103266307A (zh) * 2013-05-22 2013-08-28 光垒光电科技(上海)有限公司 反应腔
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US10450649B2 (en) 2014-01-29 2019-10-22 Gtat Corporation Reactor filament assembly with enhanced misalignment tolerance
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
CN106711066A (zh) * 2016-12-27 2017-05-24 武汉华星光电技术有限公司 干蚀刻反应设备及用于干蚀刻反应的气体喷嘴
US11521884B2 (en) * 2018-06-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Electrostatic chuck sidewall gas curtain
KR102386545B1 (ko) * 2018-09-03 2022-04-15 주식회사 원익아이피에스 밸브 조립체 및 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
TW202212618A (zh) * 2020-09-02 2022-04-01 美商應用材料股份有限公司 控制偶然沉積的噴頭設計
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
CN114664620A (zh) * 2020-12-23 2022-06-24 中微半导体设备(上海)股份有限公司 等离子体处理装置及其处理方法
JP2024510791A (ja) * 2021-03-23 2024-03-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用の洗浄アセンブリ
WO2024039503A1 (en) * 2022-08-15 2024-02-22 Applied Materials, Inc. System and method for cleaning process chamber components

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) * 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
GB2125937B (en) 1982-08-26 1986-06-25 Metal Box Plc Dispensing volatile liquids
US5188672A (en) 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
JP3753526B2 (ja) * 1996-12-18 2006-03-08 サクラ精機株式会社 顕微鏡標本のカバーガラス貼着方法と装置
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3808245B2 (ja) * 1999-07-30 2006-08-09 京セラ株式会社 半導体製造用チャンバ構成部材
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
DE19922355A1 (de) 1999-05-14 2000-11-23 Helmut Swars Katalysatorträgerkörper
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
AU2001283944A1 (en) * 2000-09-22 2002-04-02 Aixtron Ag Gas inlet mechanism for cvd-method and device
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003100717A (ja) * 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP4074461B2 (ja) 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4292777B2 (ja) 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6989061B2 (en) * 2003-08-22 2006-01-24 Kastalon, Inc. Nozzle for use in rotational casting apparatus
US20060048707A1 (en) 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
JP2007182360A (ja) 2005-02-16 2007-07-19 Nissan Motor Co Ltd ウィスカー形成体及びこれを用いた電気化学キャパシタ
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2006310481A (ja) * 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
CN100502106C (zh) * 2006-05-12 2009-06-17 盐光科技(嘉兴)有限公司 二次电池正极材料及制备方法
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US20080124944A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Similar Documents

Publication Publication Date Title
JP2008532331A5 (ja) ガス分配装置及び基板処理チャンバ
US7361228B2 (en) Showerheads for providing a gas to a substrate and apparatus
JP6466364B2 (ja) 改善されたガス流のためのシャワーヘッド支持構造
JP2008524852A5 (ja)
JP4964223B2 (ja) ガス分配装置及び基板処理チャンバ
TWI784145B (zh) 靜電夾頭加熱器
JP2021106293A5 (ja)
WO2008054692B1 (en) Baffled liner cover
US20030198740A1 (en) Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
JP2014515561A5 (ja)
EP1691396A3 (en) Plasma reactor with overhead electrode having cylindrical gas outlets
TW202037751A (zh) 噴淋頭總成及其組件
JP2016063221A5 (ja)
TWI771326B (zh) 具有邊緣環的旋轉卡盤
WO2006065740A3 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
WO2015135350A1 (zh) 反应腔室以及等离子体加工设备
US20160300750A1 (en) Holding equipment
JPH11515139A (ja) ウエファ状の物品、特にシリコンウエファ用の支持体
KR20010110299A (ko) 벤트리 효과를 이용하여 공기혼입된 워터 스트림을전달하는 샤워헤드
US20040149212A1 (en) Reaction chamber for depositing thin film
TWI687540B (zh) 具有旋轉式氣體噴淋頭之旋轉夾頭
CN101145507A (zh) 等离子加工装置
US8419891B2 (en) Semiconductor development apparatus and method using same
JP2019167628A5 (ja)
JP2008043946A (ja) ガス供給装置用シャワーヘッド