JP2008527738A - ヘキサクロロジシラン又はその他の塩素含有シリコン前駆体を用いた微小造形物充填方法及び装置 - Google Patents

ヘキサクロロジシラン又はその他の塩素含有シリコン前駆体を用いた微小造形物充填方法及び装置 Download PDF

Info

Publication number
JP2008527738A
JP2008527738A JP2007551250A JP2007551250A JP2008527738A JP 2008527738 A JP2008527738 A JP 2008527738A JP 2007551250 A JP2007551250 A JP 2007551250A JP 2007551250 A JP2007551250 A JP 2007551250A JP 2008527738 A JP2008527738 A JP 2008527738A
Authority
JP
Japan
Prior art keywords
gas
silicon
microfabrication
processing
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007551250A
Other languages
English (en)
Other versions
JP2008527738A5 (ja
JP5068178B2 (ja
Inventor
リース,アレン
ディップ,アンソニー
オー,ソンホ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008527738A publication Critical patent/JP2008527738A/ja
Publication of JP2008527738A5 publication Critical patent/JP2008527738A5/ja
Application granted granted Critical
Publication of JP5068178B2 publication Critical patent/JP5068178B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

処理システム内で低圧堆積プロセスによって基板上の微小造形物内にシリコン含有膜を堆積する方法が提供される。シリコン含有膜は、基板を処理システムの処理チャンバー内に設置し、基板をヘキサクロロジシラン(HCD)処理ガスに晒すことによって、微小造形物内に形成されることができる。また、例えばHCD処理ガス等の、シリコン及び塩素を含有するガスを用いて微小造形物内にシリコン含有膜を形成する処理システムを有する処理ツールが提供される。代替的に、微小造形物は、DCS、SiCl及びSiHClガスに晒されてもよい。さらに代替的に、微小造形物は、(SiH+HCl)に晒されてもよい。

Description

本発明は半導体プロセスに関し、より具体的には、ヘキサクロロジシラン(HCD)処理ガスを用いて半導体基板上の微小造形物を充填するプロセス及び処理ツールに関する。
本出願は特許文献1に関連する(参照することにより、その全体の内容がここに取り込まれる)。
半導体デバイスの製造中、時々、デバイスを分離するため、あるいは例えばキャパシタ等のその他のデバイスを作り出すため、基板表面上の微小な造形物が作り出される。これら微小造形物は後に、しばしばシラン(SiH)を用いることによって充填される。回路の幾何学形状が更に微小な形状サイズに縮められるに連れ、基板上の微小造形物のアスペクト比(深さの幅に対する比;図1参照)は増大している。シランのみを用いるポリシリコン充填プロセスの場合、微小造形物のアスペクト比が増大するに連れ、微小造形物内部の欠陥レベルが増大する。さらに、絶対的な寸法が小さくなるに連れ、微小造形物のアスペクト比とは無関係な新たな欠陥生成機構が発生している。1つの一般的な欠陥は微小造形物内のボイドの生成である。これらボイドは電気抵抗が高い領域を生じさせ、回路動作を妨げることがある。
考え得るボイド形成の幾つかの原因は、堆積ガスの減少、核生成特性の相違、気相ラジカルの存在、及び堆積膜からの水素ガス放出である。
米国特許出願公開第10/673375号明細書
本発明は、先行技術に係る堆積システム及び方法に伴う問題を抑制又は解決することが可能な、処理システムの処理チャンバー内に置かれた半導体ウェハ上の微小造形物を充填する方法及びシステムを提供することを目的とする。
さらに、本発明は、シリコン含有膜を半導体応用と統合化するコスト効率に優れた機構を提供することを目的とする。
ここでは、微小造形物という用語は基板、及び/又は基板上に形成された層若しくは層群に形成される、ミクロンスケールの寸法を有し、典型的にはサブミクロンスケールすなわち1μm未満の寸法を有する造形物を参照するものである。微小造形物は、例えば、トレンチ、ヒル、バレー又はビア(via)ホールであり得る。
堆積ガスの減少に起因するボイド形成は、SiHが高い堆積速度を有する輸送律速反応であることによって生じ得ることが本出願の発明者によって見出された。図2には、微小造形物の頂部A及び底部Bが示されている。堆積速度は基板近傍の反応物質の濃度に依存するので、反応物質が底部Bに到達する前に堆積されることにより、底部Bに到達するSiH反応物質は頂部Aに到達するそれより少なくなる。微小造形物の頂部はより多くの反応物質に晒されるので、微小造形物の頂部Aでの堆積速度は、微小造形物の底部Bでのそれより高くなる。図3に示されるように、この比較的に高い堆積速度は最終的に微小造形物の開口を詰まらせ、それ以上の反応物質は微小造形物の底部Bに到達できなくなる。故に、微小造形物のアスペクト比が高いほど、微小造形物の開口は途中で閉まりやすくなり、それによりボイドが形成される。
微小造形物の頂部で生じる一層高い相対堆積速度によるボイド形成を防止するため、従来の微小造形物充填技術は、堆積の初期段階における全体的な堆積速度を低下させている。SiHが不活性ガスと組み合わされると、あるいは処理温度が下げられると、堆積速度は低下する。しかし、何れの方法も、特に微小造形物が高アスペクト比を有するとき、ボイド形成をゼロに抑えることはできない。
ガス輸送に無関係な潜在的なボイド原因はSiHの気相ラジカルの存在である。これらのラジカルは、ウェハ端部、そして派生的に、基板表面と、充填されるべき微小造形物の上側コーナー部の近傍及び周辺でゲッタリングされる傾向がある。気相にあるこれらラジカル数が微小造形物内で減少するに連れ、微小造形物の内部での堆積に利用可能なラジカルが減少することになる。
堆積膜からの水素ガス放出は、考え得る他の1つのボイド形成機構である。より高い温度での堆積後処理によって、更なる結晶化及び水素ガス放出が引き起こされることが見受けられている。その結果、堆積膜の体積縮小とボイド形成とが生じる。
水素ガス放出は、微小造形物の充填それ自体に加えて、その他のプロセスでも発生する。例えば、微小造形物充填に関連する輸送問題を解決する1つの可能な解決策は、微小造形物を充填することを試みる前に、低温で非晶質シリコンのシード層を堆積させることである。しかしながら、これを行うことは水素ガス放出を生じさせ、実質的に、ボイド形成機構を別の機構に置き換えるだけである。
さらに、微小造形物の開口が小さくなるに連れ、新たな現象であるギャップ形成が発生することが本出願の発明者によって見出された。およそ数百オングストロームの開口と最大で数千オングストロームの深さとを有する微小造形物では、アスペクト比は5:1又は10:1の範囲となり得る。このアスペクト比は既知の微小造形物におけるそれと同等であるが、微小造形物の開口の絶対的な寸法は伝統的な微小造形物の開口より遙かに小さい。図4に示されるように、この小ささの微小造形物の開口では、微小造形物の底部に対する頂部での単なる選択的な堆積とは異なるモードを介して、微小造形物の開口の閉口が起こり得る。このモードによって形成されるボイドは長方形に近い断面を有する。本出願の発明者は、微小造形物の開口の幅が約1000オングストローム程度より小さく数百オングストローム(微小造形物の側壁に沿ってランダムに形成されるSiシード(seed)程度)まで小さくなると、微小造形物の底部で遅い堆積となるというよりむしろ、堆積速度が実質的にゼロになることを見出した。
本発明の上記及び/又はその他の課題は、基板上にシリコン含有膜を堆積する方法によって達成され得る。当該方法は、基板を処理システムの処理チャンバー内に設置する工程、及び処理チャンバー内及び基板上の微小造形物内にヘキサクロロジシラン(HCD、SiCl)処理ガスを流す工程を有する。
また、本発明の他の一態様に従って、微小造形物内にボイド形成なくシリコン含有物質を堆積するための処理ツールが提供される。当該処理ツールは、基板を処理システムの処理チャンバー内に設置するように構成された搬送系、微小造形物内にシリコン含有物質を堆積するために、基板をHCD処理ガスに晒すように構成されたガス注入系、及び当該処理ツールを制御するように構成された制御器を有する。
ここでは、微小造形物という用語は基板、及び/又は基板上に形成された層若しくは層群に形成される、ミクロンスケールの寸法を有し、典型的にはサブミクロンスケールすなわち1μm未満の寸法を有する造形物を参照するものである。微小造形物は、例えば、トレンチ、ヒル、バレー又はビア(via)ホールであり得る。
本発明の典型的な一実施形態は、ポリシリコンによる微小造形物充填用のメイン堆積ガスとしての、HCD又はその他の塩素含有シリコン前駆体の使用を詳述するものである。HCDは、その堆積特性により、この目的での理想的な気体である。HCDを用いたときの堆積速度はSiHを用いた場合より、大抵の処理温度で遙かに低い。HCDはまた、輸送律速堆積ではなく反応律速堆積となる。これにより、反応物質は微小造形物の底部に一層良好に到達することができるので、微小造形物の開口が途中で閉じるという問題が抑制される。
さらに、SiHとは異なり、HCDは水素を含有しない。堆積された膜は、故に、SiHのみを用いて堆積された膜が被るような水素ガス放出を起こさない。さらに、水素含有ガスを用いて膜が堆積される場合、HCD又は別の塩素含有ガスから得られる塩素は、膜内の水素レベルを低下させるのを助け、それにより水素ガス放出が抑制される。これらの特性はHCD又はその他の塩素含有ガスを用いた堆積を、従来の処理ガスを用いた場合より、現行及び将来的な構造にコンフォーマルにし、微小造形物内にボイドが形成されにくくなる。
HCDは2つの方式で気相ラジカル存在の問題の治療薬として作用する。第1に、HCDは一層コンフォーマルな堆積をもたらす一層均一な気相種の第2のソース(源)を提供する。これはまた、HCDと組み合わせて使用されるSiH又は何らかの水素含有ガスからの気相ラジカルに起因する核形成に対して、その速度を低下させる助けとなる塩素の重要なソースでもある。
さらに、HCDは非常に微小な幾何学形状に対して一層良好な堆積特性を有する。HCD堆積は微小造形物の頂部での早期のシード形成を抑制又は防止する。上述のように、このシード形成は微小造形物の底部でのゼロに近い堆積速度をもたらし得るものである。これが発生すると、堆積は微小造形物の底部より上方の何処かで、ほぼ水平な直線で停止する。
HCD処理ガスの堆積特性はまた、付加的なガスを用いて変化させられることができる。この堆積特性及び/又は堆積膜特性の何れかに影響を及ぼすように、H、GeH、B、PH及びSiH、並びにその他のガスがHCDとともに導入されることが可能である。例えば、B及びPHは導電率に影響を及ぼし、H及びSiHは堆積速度を高めるために付加され得る。一般的に、微小造形物充填プロセス中に作り出される膜は非常に厚く、故に、低い堆積速度を有するプロセスは、通常、全膜厚の形成には実用的でない。H及び/又はSiHの付加は堆積速度を製造上使用可能な程度まで高めることになる。
充填されるべき幾何学形状に応じて、微小造形物の底部に初期層を堆積するためにHCD又はその他の塩素含有シリコン前駆体を用いて開始し、その後、残りの堆積プロセスのために別の処理ガスに切り替えることが可能である。このように処理ガスを切り替えることは、処理時間を短縮するため、あるいは後に堆積される材料の平滑性に影響を与えるために使用され得る。
一実施形態において、処理ガスは、処理の開始時にはHCD又はその混合物とされることができ、その後、HCDの割合は処理期間にわたって変化させられてもよい。例えば、微小造形物を充填するため、処理の最初ではHCD、又はHCDと別のガスとの組み合わせが使用され得る。そして、微小造形物が実質的に充填される少し前に、処理ガスは一層高い堆積速度を有するガス又はガスの組み合わせに変更されてもよい。微小造形物が実質的に充填された時、又は微小造形物が充填された少し後に、処理ガスはもう一度変更されてもよい。他の例では、ガスの組み合わせが処理中に連続的に変化させられ得る。一実施形態において、HCDである処理ガスの割合は小さい変化量で、あるいは緩やかな曲線に沿って低下させられ、一方で、より高い堆積速度を有する別のガスの割合が徐々に増大させられ得る。
微小造形物が充填された時点又はその付近で処理ガスがHCD又は別の同様なガスから切り替えられる場合、この切替時点は例えば数値計算又は試行錯誤によって特定されてもよい。
処理ガスとしてHCDを使用することの幾つかの利点は、代わりの塩素含有シリコン前駆体を用いることによって達成されてもよいが、処理温度は高くなることがあり得る。これらの例は、SiH+HCl、ジクロロシラン、テトラクロロシラン、及びトリクロロシラン等である。これらの塩素含有ガスは、同一の堆積特性及び/又は堆積膜特性を達成するために、先に列挙された付加的なガスと組み合わせて使用されることもできる。活性ガスとして実質的にHCDのみを含有する処理ガスは、例えば、唯一の分子式を有する処理ガスとして特徴付けられる。また、発生ガスとしてSiHとHClとの双方を含有する処理ガスは、2つ以上の分子式を有するとして特徴付けられる。
さらに、塩素含有ガスは、例えガス自体がシリコンを含有していないとしても、堆積特性に影響を及ぼすように処理における様々な時点で付加されることができる。
HCD又はその他の塩素含有前駆体の、SiHに対する比は、通常、実質的にSiHを有さない純粋なHCDから、およそ1単位のHCD:4単位のSiHの組み合わせまでの範囲内である。好適な範囲は、2単位のHCD:1単位のSiHから、1単位のHCD:2単位のSiHである。HCD又はその他の塩素含有前駆体の、SiHに対する典型的な容積比は、およそ50%のHCD及び50%のSiHである。不活性ガスが含まれてもよいし含まれなくてもよい。
重要なことは、伝統的なシリコンソースガスは、シリコン含有膜の低温堆積、又は膜成長の強い選択性を提供しないということである。そうは言うものの、その他のシリコンソースガスの使用は、恐らくは半導体産業にて新たなソースガスを用いることと、バッチ式処理チャンバー内の異なるウェハ位置で均一な処理結果をもたらす問題との困難性のため、殆ど研究されていない。故に、本発明は、基板上の微小造形物にシリコン含有膜を堆積するためにヘキサクロロジシラン(HCD)処理ガスを使用することに関して、それを分析するために実験を行ってきた。これらの実験及び分析の結果として、本出願の発明者は、HCD処理ガスに低圧で晒すことにより、処理システム内での微小造形物内へのシリコン含有膜の低温堆積に適した機構が提供されることを見出した。
HCD処理ガスにシリコン表面は晒されないが、例えば酸化物、窒化物又は金属などの他の表面が晒される場合、HCD処理ガスを用いたシリコン含有膜の堆積は、微細なシリコングレインを有する多結晶シリコン含有膜、又は非晶質シリコン含有膜を形成することができる。多結晶シリコン含有膜におけるグレインサイズは、堆積条件及び熱処理に依存し得る。
HCDは、反応性が高く且つ非常に強い脱酸素剤である商業的に入手可能なシリコン化合物である。処理システム内でシリコン含有膜を堆積するためにHCD処理ガスを使用することの実験及び分析の結果、本出願の発明者は、HCD処理ガスを用いた低圧熱分解プロセスは、H又はHClの存在下でのDCSの従来の分解を用いて同一温度で実現可能な堆積速度より高い堆積速度で、基板上にシリコン含有膜を堆積することを見出した。HCDを用いて得られる一層高い堆積速度は、例えば、シリコン含有膜の十分に高い堆積速度を実現しながら、製造上使用可能な堆積プロセスが一層低い基板温度で実行されることを可能にする。上述の実験はバッチ式処理システムで行われることに関して説明されているが、本発明はこのような処理システムに限定されるものではなく、当業者に認識されるように枚葉式処理システムにおいても実施され得るものである。
具体的には、シリコン含有膜はHCD処理ガスを用いて低圧堆積プロセスにて処理システム内で基板上に堆積されることが可能である。同一又は同様のプロセスは基板上の微小造形物を充填するために使用され得る。このプロセスにおいて、基板が処理チャンバー内に設置され、真空ポンプ系を用いてチャンバー圧力が低下させられ、チャンバーの温度及び圧力が安定化される。次に、処理チャンバー温度及び処理チャンバー圧力は所望値に調整され得る。処理温度に到達すると、基板は該基板上への所望のシリコン含有膜の形成をもたらす時間にわたって処理される。処理の最後に、処理チャンバーは不活性ガスで排気・清浄され、基板が処理チャンバーから取り出される。加えて、シリコン含有膜を堆積すること、又は微小造形物を充填することに先立って、基板を前処理するプロセスが行われ得る。この前処理は、基板から汚染物質及び酸化膜を除去するために、例えば900℃の基板温度でHガスといった洗浄ガスに基板を晒すことを含み得る。
続いて図面を参照するに、図5は、本発明の一実施形態に従って基板上にシリコン含有膜を堆積するバッチ式処理システムの簡略化されたブロック図を示している。バッチ式処理システム100は、処理チャンバー102、ガス注入系104、ヒータ122、真空ポンプ系106、処理監視系108、及び制御器124を含んでいる。複数の基板110が処理チャンバー102内に装填され、基板ホルダー112を用いて処理されることができる。さらに、処理チャンバー102は外側部分114及び内側部分116を有している。本発明の一実施形態において、内側部分116は処理チューブとすることができる。
ガス注入系104は、処理チャンバー102を清浄し且つ基板110を準備、洗浄及び処理するために、処理チャンバー102にガスを導入することができる。ガス注入系104は、例えば、HCDの液体を気化させる気化器を含む液体配給系(LDS)を有する。気化された液体は搬送ガスの助けを借りて処理チャンバー102に流入させられることができる。他の例では、ガス注入系は、HCD前駆体を含有する貯蔵庫を通して搬送ガスが泡立てられるバブリング系を含み得る。複数のガス供給管がガスを処理チャンバー102に流入させるように構成され得る。ガスは、内側部分116によって形作られた容積部118に導入され、基板110に触れることができる。ガスは、その後、内側部分116及び外側部分114によって形作られた容積部120内に流入させられ、真空ポンプ系106により処理チャンバー102から排気されることができる。
基板110は処理チャンバー102内に装填され、基板ホルダー112を用いて処理されることができる。バッチ式処理システム100は、密に積載された多数の基板110が処理されることを可能にし、それにより高い基板スループットをもたらす。基板のバッチサイズは、例えば、約100枚の基板(ウェハ)か、それより少なくされ得る。他の例では、バッチサイズは約25枚の基板か、それより少なくされ得る。処理チャンバー102は、例えば、200mm基板、300mm基板、又は更に大きい基板といった任意の大きさの基板を処理することができる。基板110は、例えば、半導体基板(例えば、シリコン又は化合物半導体)、LCD基板、及びガラス基板を有し得る。何も付いていない基板に加え、これらに限られないがシリコン膜、金属膜、酸化膜、窒化膜、及び酸窒化膜を含む複数の膜が形成された基板も使用され得る。
バッチ式処理システム100の出力を監視するとともに、バッチ式処理システム100の入力に対して信号を伝達し作動させるのに十分な制御電圧を生成することが可能な制御器124によって、バッチ式処理システム100は制御されることができる。また、制御器124は処理チャンバー102、ガス注入系104、ヒータ122、処理監視系108、及び真空ポンプ系106に結合されており、それらと情報を交換することが可能である。例えば、制御器124のメモリー内に格納されたプログラムが、蓄積されたプロセスレシピに従ってバッチ式処理システム100の上述の構成要素を制御するために使用され得る。制御器124の一例はデル社から入手可能なDELL PRECISION WORKSTATION610(登録商標)である。
実時間での処理監視が処理監視系108を用いて行われ得る。一般的に、処理監視系108は他目的な監視系であり、例えば、質量分析計(MS)又はフーリエ変換型赤外線(FTIR)分光計を有している。処理監視系108は処理環境内の気体の化学種の定性的分析及び定量的分析を提供し得る。監視され得る処理パラメータには、ガス流量、ガス圧、気体種の比率、及びガス純度が含まれる。これらのパラメータは先行処理結果及び堆積シリコン含有膜の様々な物理特性と相関付けられ得る。
図6は、本発明の一実施形態に従って基板上にシリコン含有膜を堆積する別のバッチ式処理システムの簡略化されたブロック図を示している。バッチ式処理システム1は、処理チャンバー10及び処理チューブ25を含んでおり、処理チューブ25は、排気管80に接続された上端部と円筒形の連結管(manifold)2の蓋部27に密封接合された下端部とを有している。排気管80は、処理システム1内に所定の大気圧又は大気圧未満を維持するように、処理チューブ25から真空ポンプ系88へとガスを排出する。複数の基板(ウェハ)40を(鉛直方向に間隔を空けたそれぞれの水平面に)階段状に保持する基板ホルダー35が処理チューブ25内に配置されている。基板ホルダー35は、蓋部27を貫通しモータ28によって駆動される回転シャフト21に搭載された回転台26上に備えられている。回転台26は全体的な膜の均一性を改善するために処理中に回転させられることが可能であり、あるいは他の例では、回転台は処理中に静止していてもよい。蓋部27は、基板ホルダーを反応チューブ25に対して搬出/搬入するエレベータ22に搭載されている。蓋部27は、自身の最高地点に位置付けられるときに連結管2の開口端を閉じるように適合されている。
連結管2の周りには複数のガス供給管が配置されており、該ガス供給管を介して複数のガスが処理チューブ25内に供給される。図6においては、複数のガス供給管の内の1つのガス供給管45のみが示されている。ガス供給管45はガス注入系94に接続されている。円筒形の熱反射体30が反応チューブ25を覆うように配置されている。熱反射体30は、主ヒータ20、底部ヒータ65、頂部ヒータ15及び排気管ヒータ70により放射される放射熱の消散を抑制するために、鏡面仕上げされた内表面を有している。冷却媒体の通路として処理チャンバー10の壁に螺旋状の冷却水路(図示せず)が形成されている。
真空ポンプ系88は真空ポンプ86、トラップ84及び自動圧力制御器(APC)82を有している。真空ポンプ86は、例えば、最大で毎秒20,000リットル(以上)のポンプ速度が可能なドライ式真空ポンプを含み得る。処理中、ガスはガス注入系94を介して処理チャンバー10に導入されることができ、処理圧力はAPC82によって調整されることができる。トラップ84は処理チャンバー10からの未反応の前駆物質及び副生成物を収集することができる。
処理監視系92は、実時間での処理監視が可能なセンサー75を有しており、例えば、MS又はFTIR分光計を有することもできる。制御器90はマイクロプロセッサ、メモリー、及び処理システム1からの出力を監視するとともに、処理システム1の入力に対して信号を伝達し作動させるのに十分な制御電圧を生成することが可能なデジタル入/出力ポートを含んでいる。また、制御器90はガス注入系94、モータ28、処理監視系92、ヒータ20、15、65及び70、並びに真空ポンプ系88に結合されており、それらと情報を交換することが可能である。図5の制御器124の場合のように、制御器90はDELL PRECISION WORKSTATION610(登録商標)として実現されてもよい。
図7は、本発明の一実施形態に従った処理ツールの簡略化されたブロック図を示している。処理ツール200は処理システム220及び230、処理ツール200内で基板を搬送するように構成された(ロボット)搬送系210、及び処理ツール200を制御するように構成された制御器240を有している。本発明の他の一実施形態においては、処理ツール200は、単一の処理システムを有していてもよく、あるいは代わりに、3つ以上の処理システムを有していてもよい。図7において、処理システム220及び230は、例えば、以下の処理の少なくとも1つを実行することができる:(a)基板の前処理、(b)基板上へのシリコン含有膜の堆積、(c)基板、及び基板上に堆積されたシリコン含有膜の少なくとも一方の特性の決定、及び(d)シリコン含有膜での微小造形物の充填。(a)において、例えば、前処理は基板表面から汚染物質及び/又は薄い酸化膜(例えば、自然酸化膜又は化学酸化膜)を除去するために行われ得る。シリコン表面上の汚染物質又は酸化膜の存在は、適当なシリコンシード(核形成)層の形成を阻害し、それによりエピタキシャルシリコン成長に影響を及ぼし得るものである。一例において、前処理は約500℃と約1000℃との間(例えば、900℃)の基板温度で基板をHガスに晒すことを含み得る。(c)において、例えば、膜特性はシリコン含有膜の厚さ及びドーパント濃度を含んでいてもよい。(d)において、基板上に形成された微小造形物は、高アスペクト比又は1000Å未満の開口寸法を有するにも拘わらず充填され得る。本発明の一実施形態において、処理(a)乃至(d)の各々が別々の処理システムにて行われてもよい。本発明の他の一実施形態においては、処理(a)乃至(d)の少なくとも2つが同一の処理システムにて行われてもよい。本発明の一実施形態において、処理システムの少なくとも1つはバッチ式処理システム又は枚葉式処理システムを含み得る。本発明の他の一実施形態においては、処理システムの少なくとも1つは、プラズマ処理システム又は原子層堆積システムを含み得る。
図5及び6の制御器の場合のように、制御器240はDELL PRECISION WORKSTATION610(登録商標)として実現されてもよい。さらに、図5乃至7の何れかの制御器は、例えば図9に関連して後述される制御器などの汎用コンピュータシステムとして実現されてもよい。
図8はプロセスの工程群のフローチャートを示している。このプロセスは工程400で開始する。工程402にて、基板がロボットにより、あるいは手で処理チャンバー内に配置される。なお、この時点において、微小造形物は既に基板上に存在していてもよいし、処理チャンバー内への基板の配置後に形成されてもよい。工程406にて、基板及び微小造形物はHCDガス又は他の塩素含有シリコン前駆体に晒される。シリコン含有物質が工程408にて微小造形物内に堆積され、工程410にて処理が終了される。
液体状のHCDの搬送ガスとして、あるいは、基板表面若しくは微小造形物内ではなくチャンバー環境内で化学反応が起こることを抑制するようにHCDガスを希釈するため、不活性ガスが使用されてもよい。本発明の他の一実施形態においては、HCD処理ガスはHCDガスと、必要に応じての不活性ガス、少なくとも1つの水素含有ガス、及び第2のシリコン含有ガスとを含むことができる。水素含有ガスは、例えば、Hを含み得る。HCDガスへのH及び/又はSiHの付加はシリコン堆積速度を増大させることが観測されており、またHCDガスへのB及びPHの付加は導電率に影響を及ぼすことになる。第2のシリコン含有ガスは、例えば、SiH、SiCl、Si及びSiClから選択されることができる。本発明の更に他の一実施形態においては、HCD処理ガスはHCDガスと、例えばリン含有ガス(例えば、PH)、ヒ素含有ガス(例えば、AsH)、窒素含有ガス(例えば、NH)及びボロン含有ガス(例えば、B及びBCl)から選択され得るドーパントガスとを含むことができる。本発明の他の一実施形態においては、HCD処理ガスは、例えばHF、F、Cl、NF及びHClから選択され得るハロゲン含有ガスを含むことができる。本発明の更に他の一実施形態においては、SiGe膜を堆積するため、HCD処理ガスはHCDガスと、例えばGeH及びGeClから選択され得るゲルマニウム含有ガスとを含むことができる。さらに、Bが使用され得る。他の一実施形態においては、微小造形物充填ガスの組み合わせは、SiH+HCl、DCS、SiCl又はSiHClを含むことができる
本発明の一実施形態において、図8のフロー図に示された堆積プロセスは更に、シリコン含有膜を堆積することに先立って基板を前処理することを含むことができる。例えば、基板表面の酸化膜(例えば、自然酸化膜又は熱酸化膜)及びその他の界面汚染物質は、適当なシリコンシード(核形成)層の形成を阻害し、堆積表面上でのシリコン含有膜の堆積を妨げ、シリコン堆積の選択性を低下させ、また、微小造形物の完全な充填を妨げ得るものであるが、この前処理プロセスは、これらを実質的に除去することが可能である。一実施形態において、前処理は900℃の基板温度にてシリコン基板をHガスに晒すことを含み得る。
シリコン含有膜を堆積するために用いられる処理条件は、約1Torr未満の処理チャンバー圧力を含み得る。典型的な処理ガス圧は約0.1Torrとし得るが、好ましくは約0.2Torrである。処理条件は更に、約500℃と約650℃との間の温度、好ましくは約600℃、の基板温度を含み得る。工程408においては、シリコン含有膜はHCD処理ガスの分解により基板上に堆積される。
所望の膜特性を有するシリコン含有膜で微小造形物を充填することを可能にする好適な処理条件は、直接実験及び/又は実験計画法(DOE)によって決定され得る。調整可能な処理パラメータは、例えば、基板温度、処理圧力、処理ガスの種類、及び相対的なガス流量を含み得る。上述のように、HCD処理ガスは、例えば、HCDガスと必要に応じての不活性ガス、少なくとも1つの水素含有ガス、及び第2のシリコン含有ガスとを含むことができる。HCDガス流量は例えば約5sccmと約1,000sccmとの間とすることができ、(もしあれば、)不活性ガス流量は例えば約5sccmと約20,000sccmとの間、水素含有ガス流量は例えば約5sccmと約5,000sccmとの間、そして第2のシリコン含有ガス流量は例えば約10sccmと約1,000sccmとの間とすることができる。
本発明の一実施形態において、処理温度は約600℃であり、チャンバー圧力は約200mTorrであり、そして流される処理ガスは約40sccmのHCD及び40sccmのSiHである。
HCDを用いての典型的な堆積速度は、約80Å/分の典型的な堆積速度を有する純粋SiHの堆積速度より低くなり得る。純粋HCDの堆積速度は約4Å/分程度である。例えば、約4Å/分の堆積速度は約100%のHCD有する処理ガスに対応する。
図9は、本発明の一実施形態とともに使用されるコンピュータシステム1201を例示している。コンピュータシステム1201は、上述の機能の何れか又は全てを実行するために、図5、6又は7の制御器、又はこれらの図のシステムとともに使用され得る同様の制御器として使用されてもよい。コンピュータシステム1201はバス1202若しくは情報通信のための他の通信機構と、情報を処理するためにバス1202に結合されたプロセッサ1203とを含んでいる。コンピュータシステム1201はまた、バス1202に結合され且つ情報とプロセッサ1203によって実行される命令とを記憶する主メモリー1204を含んでいる。主メモリー1204は、例えば、ランダムアクセスメモリー(RAM)若しくは他の動的記憶装置(例えば、ダイナミックRAM(DRAM)、スタティックRAM(SRAM)、及び同期型DRAM(SDRAM))等である。さらに、主メモリー1204はプロセッサ1203による命令の実行中に一時的な変数、又は他の中間情報を記憶するために使用されてもよい。コンピュータシステム1201は更に、バス1202に結合され且つ静的情報及びプロセッサ1203用の命令を記憶する読み出し専用メモリー(ROM)1205又は他の静的記憶装置(例えば、プログラム可能ROM(PROM)、消去可能PROM(EPROM)、及び電気的消去可能PROM(EEPROM))を含んでいる。
コンピュータシステム1201はまた、バス1202に結合されたディスク制御器1206を含んでおり、ディスク制御器1206は、情報及び命令を記憶するために、例えば磁気ハードディスク1207及び取り外し可能メディアドライブ1208(例えば、フロッピーディスクドライブ、読み出し専用コンパクトディスクドライブ、読み出し/書き込み型コンパクトディスクドライブ、テープドライブ、及び取り外し可能光磁気ドライブ)等の1つ以上の記憶装置を制御する。この記憶装置は適切な装置インターフェース(例えば、小型コンピュータ用インターフェース(SCSI)、インテグレイテッド・デバイス・エレクトロニクス(IDE)、エンハンストIDE(E−IDE)、ダイレクト・メモリー・アクセス(DMA)、又はウルトラDMA)を用いてコンピュータシステム1201に付加され得る。
コンピュータシステム1201はまた特定目的の論理デバイス(例えば、特定用途向け集積回路(ASIC))、又は設定可能な論理デバイス(例えば、シンプルプログラマブル論理デバイス(SPLD)、結合プログラマブル論理デバイス(CPLD)、及びフィールドプログラム可能ゲートアレイ(FPGA))を含んでいてもよい。コンピュータシステムはまた、例えばテキサスインスツルメント社からのTMS320チップシリーズ、モトローラ社からのDSP56000、DSP56100、DSP56300、DSP56600及びDSP96000チップシリーズ、ルーセントテクノロジー社からのDSP1600及びDSP3200シリーズ、又はアナログデバイセズ社からのADSP2100及びADSP21000シリーズ等の、1つ以上のデジタル信号プロセッサ(DSP)を含んでいてもよい。デジタル領域に変換されたアナログ信号を処理するために特別に設計された他のプロセッサも使用され得る。
コンピュータシステム1201はまた、バス1202に結合された例えば陰極線管(CRT)等の表示制御器1209を含んでいてもよく、表示制御器1209は情報をコンピュータユーザに表示するためにディスプレー1210を制御する。コンピュータシステムは、コンピュータユーザとやり取りして情報をプロセッサ1203に提供するために、例えばキーボード1211及びポインティング装置1212等である入力装置を含んでいる。ポインティング装置1212は、例えば、指示情報及びコマンド選択をプロセッサ1203に伝達し、且つディスプレー1210上でのカーソル動作を制御するマウス、トラックボール、又はポインティングスティックとしてもよい。さらに、プリンタが、コンピュータシステム1201によって記憶及び/又は生成されたデータの印刷されたリストを提供してもよい。
コンピュータシステム1201は、例えば主メモリー1204等のメモリーに格納されている1つ以上の命令から成る1つ以上のシーケンスを実行するプロセッサ1203に応答して、マイクロプロセッサベースの本発明の処理工程の一部又は全てを行う。このような命令は、例えばハードディスク1207又は取り外し可能メディアドライブ1208等の、他のコンピュータ可読媒体から主メモリー1204に読み込まれてもよい。主メモリー1204に格納された命令シーケンスを実行するために、マルチ処理構成をした1つ以上のプロセッサが用いられてもよい。これに代わる実施形態においては、ソフトウェア命令の代わりに、あるいはソフトウェア命令と組み合わせて、配線接続された(hard−wired)回路が用いられてもよい。故に、実施形態はハードウェア回路とソフトウェアとの如何なる特定の組み合わせにも限定されるものではない。
上述のように、コンピュータシステム1201は、本発明の教示に従ってプログラムされた命令を保持し且つデータ構造、表、記録又はここで述べられた他のデータを格納する少なくとも1つのコンピュータ可読媒体又はメモリーを含んでいる。コンピュータ可読媒体の例は、コンパクトディスク、ハードディスク、フロッピーディスク、テープ、光磁気ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、何らかの他の磁気媒体、コンパクトディスク(例えば、CD−ROM)、何らかの他の光媒体、パンチカード、紙テープ、穴パターンを有する他の物理媒体、搬送波(後述)、又はコンピュータが読み取り可能な何らかの他の媒体である。
本発明は、コンピュータ可読媒体の何れか1つ、又は組み合わせに記憶された、コンピュータシステム1201を制御し、本発明を実施する1つ又は複数の装置を駆動し、且つコンピュータシステム1201が人間のユーザ(例えば、印刷物作成者)とやり取りすることを可能にするソフトウェアを含む。このようなソフトウェアは、以下には限定されないが、装置ドライバ、オペレーティングシステム、開発ツール、及びアプリケーションソフトウェアを含んでもよい。上記コンピュータ可読媒体は更に、本発明を実施する際に実行される処理の全て又は一部(処理が分散される場合)を行うための、本発明に係るコンピュータプログラム製品を含む。
本発明に係るコンピュータコード装置は如何なる解釈可能又は実行可能なコード機構であってもよく、以下には限られないが、スクリプト、解釈可能プログラム、動的リンクライブラリ(DLL)、ジャバ(Java)クラス、及び完全実行可能プログラムを含んでもよい。さらに、本発明に係る処理の部分群は、より優れた性能、信頼性、及び/又はコストのために分散されてもよい。
用語“コンピュータ可読媒体”は、ここでは、実行のためにプロセッサ1203に命令を提供することに関与する如何なる媒体をも指すものである。コンピュータ可読媒体は多くの形態を採ることができ、以下には限られないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含んでもよい。不揮発性媒体には、例えば、ハードディスク1207又は取り外し可能メディアドライブ1208等の、光ディスク、磁気ディスク、若しくは光磁気ディスクが含まれる。揮発性媒体には、例えば主メモリー1204等の、動的メモリーが含まれる。伝送媒体には、バス1202を構成する配線を含め、同軸ケーブル、銅線、及び光ファイバが含まれる。伝送媒体はまた、電波及び赤外線データ通信中に発生されるような、音波又は光波の形態をとってもよい。
実行のためのプロセッサ1203への1つ以上の命令から成る1つ以上のシーケンスを行うにあたって、様々な形態のコンピュータ可読媒体が必要とされてもよい。例えば、命令は初期的に遠隔コンピュータの磁気ディスクに維持されてもよい。遠隔コンピュータは本発明の全て又は一部を遠隔で実行するために命令を動的メモリーにロードし、モデムを用いて電話線上でその命令を送信し得る。コンピュータシステム1201にローカルなモデムは電話線上でデータを受信し、そのデータを赤外線信号に変換するために赤外線送信機を使用してもよい。バス1202に結合された赤外線検出器は赤外線信号で運ばれるデータを受信し、そのデータをバス1202上に置くことができる。バス1202はデータを主メモリー1204へと運び、主メモリー1204からプロセッサ1203が命令を取り出して実行する。主メモリー1204によって受け取られた命令は、必要に応じて、プロセッサ1203による実行の前又は後の何れかに記憶装置1207又は1208に記憶される。
コンピュータシステム1201はまた、バス1202に結合された通信インターフェース1213を含んでいる。通信インターフェース1213は、例えばローカルエリアネットワーク(LAN)1215、又はインターネット等の他の通信ネットワーク1216に接続されたネットワークリンクと結合して、双方向データ通信を提供する。例えば、通信インターフェース1213は何らかのパケット交換LANに結合するためのネットワークインターフェースカードとしてもよい。他の一例として、通信インターフェース1213は非対称型デジタル加入者回線(ADSL)カード、総合デジタルサービス網(ISDN)カード、又は対応する種類の通信回線へのデータ通信接続を提供するモデムとしてもよい。無線リンクも同様に実装されてもよい。このような如何なる実施においても、通信インターフェース1213は様々な種類の情報を表すデジタルデータストリームを運ぶ電気的、電磁気的、又は光学的信号の送信と受信とを行う。
ネットワークリンク1214は、典型的に、1つ以上のネットワーク経由での他のデータ装置へのデータ通信を提供する。例えば、ネットワークリンク1214は、ローカルネットワーク1215(例えば、LAN)を介して、あるいは通信ネットワーク1216を介して通信サービスを提供するサービス提供者によって操作される設備を介して、別のコンピュータへの接続を提供してもよい。ローカルネットワーク1215及び通信ネットワーク1216は、例えば、デジタルデータストリームを運ぶ電気的、電磁気的又は光学的信号、及び関連する物理レイヤー(例えば、CAT5ケーブル、同軸ケーブル、光ファイバ等)を使用する。コンピュータシステム1201への或いはコンピュータシステム1201からのデジタルデータを運ぶ、様々なネットワークを通る信号、及びネットワークリンク1214上かつ通信インターフェース1213を通る信号はベースバンド信号すなわち搬送波ベースの信号にされてもよい。ベースバンド信号は、デジタルデータビットのストリームを記述する変調されていない電気パルスとしてデジタルデータを搬送する。ここで、用語“ビット”は、それぞれが少なくとも1つ以上の情報ビットを運搬する記号を意味するとして広く解釈されるべきである。デジタルデータはまた、導電媒体上を伝播される、或いは伝播媒体を介して電磁波として送信される、例えば振幅、位相及び/又は周波数シフト符号化信号などを用いて、搬送波を変調するように使用されてもよい。故に、デジタルデータは変調されていないベースバンド信号として“有線”通信チャンネルを介して送信されてもよく、且つ/或いは搬送波を変調することによってベースバンドとは異なる所定の周波数帯域内で送信されてもよい。コンピュータシステム1201はネットワーク1215及び1216、ネットワ−クリンク1214、並びに通信インターフェース1213を介してデータを、プログラムコードも含め、送受信することができる。さらに、ネットワークリンク1214は、例えば携帯情報端末(PDA)、ノート型コンピュータ、又は携帯電話などの携帯型装置1217に、LAN1215を介した接続を提供してもよい。
以上では本発明に係る特定の実施形態についてのみ詳細に説明してきたが、当業者に容易に認識されるように、この典型的な実施形態には本発明の新規の教示及び効果を実質的に逸脱することなく多くの変更が為され得る。従って、このような全ての変更は本発明の範囲に含まれるものである。
幅及び深さが特定された基板上の微小造形物を示す図である。 頂部及び底部の領域が特定された微小造形物を示す図である。 充填プロセス後の微小造形物内部のボイドを示す図である。 微小造形物の絶対的な寸法が約1000Åより小さくなると形成されるボイドを示す図である。 本発明の一実施形態に従って基板上にシリコン含有膜を堆積するバッチ式処理システムを示す簡略化されたブロック図である。 本発明の一実施形態に従って基板上にシリコン含有膜を堆積する別のバッチ式処理システムを示す簡略化されたブロック図である。 本発明の一実施形態に従った処理ツールを示す簡略化されたブロック図である。 本発明の一実施形態に従って微小造形物内にシリコン含有膜を堆積する方法を示すフロー図である。 本発明を実施するために使用され得る汎用コンピュータを示す図である。

Claims (37)

  1. 基板上の微小造形物内にシリコン含有物質を堆積する方法であって:
    前記微小造形物を有する前記基板を処理システムの処理チャンバー内に設置する設置工程;
    HCD、DCS、SiCl及びSiHCl、又はこれらの組み合わせから成るグループの内の少なくとも1つであるシリコン含有処理ガスに、前記微小造形物を晒す暴露工程;及び
    前記シリコン含有ガスから得られる前記シリコン含有物質を前記微小造形物内に堆積する堆積工程;
    を有する方法。
  2. 前記暴露工程は更に、前記シリコン含有処理ガスを約5sccmと約1000sccmとの間の流量で流すことを有する、請求項1に記載の方法。
  3. 前記暴露工程は更に、前記シリコン含有処理ガスを約80sccmの流量で流すことを有する、請求項1に記載の方法。
  4. 前記暴露工程は更に、水素含有ガスに前記微小造形物を晒すことを有する、請求項1に記載の方法。
  5. 前記暴露工程は更に、H、GeH、B、PH及びSiHの少なくとも1つ又はこれらの任意の組み合わせを有する水素含有ガスに、前記微小造形物を晒すことを有する、請求項1に記載の方法。
  6. 前記シリコン含有ガスと前記水素含有ガスとの比は約2:1と約1:2との間である、請求項5に記載の方法。
  7. 前記暴露工程は更に、水素含有ガスを約5sccmと約5000sccmとの間の流量で流すことを有する、請求項1に記載の方法。
  8. 前記暴露工程は更に、第2のシリコン含有ガスに前記微小造形物を晒すことを有する、請求項1に記載の方法。
  9. 前記暴露工程は更に、前記第2のシリコン含有ガスを約5sccmと約1000sccmとの間の流量で流すことを有する、請求項8に記載の方法。
  10. 前記暴露工程は更に、HCD、(SiH+HCl)、DCS、SiCl、(HCD+SiH)、及びSiHClの何れかに、前記微小造形物を晒すことを有する、請求項1に記載の方法。
  11. 前記暴露工程は更に、水素含有ガス及び第2のシリコン含有ガスに前記微小造形物を晒すことを有する、請求項1に記載の方法。
  12. 前記暴露工程は更に、シリコン含有処理ガスと、リン含有ガス、ボロン含有ガス及びゲルマニウム含有ガスの少なくとも1つとに前記微小造形物を晒すことを有する、請求項1に記載の方法。
  13. 前記暴露工程は更に、水素含有ガス及びゲルマニウム含有ガスに前記微小造形物を晒すことを有する、請求項1に記載の方法。
  14. 前記暴露工程は更に、H及びGeHに前記微小造形物を晒すことを有する、請求項1に記載の方法。
  15. 前記暴露工程は更に、前記シリコン含有処理ガスを用いた第1の処理と、その後、前記微小造形物が実質的に充填される前に、該処理ガスを別のシリコン含有ガスに変更することとを有する、請求項1に記載の方法。
  16. 前記暴露工程は更に、前記シリコン含有処理ガスを用いた第1の処理と、その後、前記微小造形物が実質的に充填される前に、該処理ガスをHCD及び別のシリコン含有ガスに変更することとを有する、請求項1に記載の方法。
  17. 前記暴露工程は更に、前記シリコン含有処理ガスを用いた第1の処理と、その後、前記微小造形物が実質的に充填された時点付近で、該処理ガスを別のシリコン含有ガスに変更することとを有する、請求項1に記載の方法。
  18. 前記暴露工程は更に、前記シリコン含有処理ガスを用いた第1の処理と、その後、前記微小造形物が実質的に充填された時点付近で、該処理ガスをHCD及び別のシリコン含有ガスに変更することとを有する、請求項1に記載の方法。
  19. 前記暴露工程は更に、前記シリコン含有処理ガスを用いた第1の処理と、その後、該シリコン含有処理ガスの割合を徐々に変化させ且つ別のシリコン含有ガスに徐々に置き換えることとを有する、請求項1に記載の方法。
  20. 処理チャンバー圧力を約0.1Torrと1Torrとの間にする工程、を更に有する請求項1に記載の方法。
  21. 処理チャンバー圧力を約0.2Torrにする工程、を更に有する請求項1に記載の方法。
  22. プロセッサ上で実行されるプログラム命令を格納するコンピュータ可読媒体であって、前記プログラム命令は、前記プロセッサによって実行されるとき、処理装置に請求項1に記載の方法の工程群を実行させる、コンピュータ可読媒体。
  23. 微小造形物を有する基板を処理する処理システムであって:
    前記基板を当該処理システムの処理チャンバー内に設置する手段;及び
    前記微小造形物を実質的に充填する手段;
    を有する処理システム。
  24. 微小造形物内にシリコン含有膜を堆積する処理ツールであって:
    処理システム;
    微小造形物を有する基板を前記処理システムの処理チャンバー内に設置するように構成された搬送系;
    前記処理システム内で、HCD、DCS、SiCl及びSiHClから成るグループから選択されたシリコン含有処理ガスに、前記微小造形物を晒すように構成されたガス注入系;及び
    当該処理ツールを制御するように構成された制御器;
    を有する処理ツール。
  25. 前記処理システムはバッチ式処理システム又は枚葉式処理システムを有する、請求項24に記載の処理ツール。
  26. 前記処理システムは、処理チューブを含むバッチ式処理システムを有する、請求項24に記載の処理ツール。
  27. 前記処理システムは熱処理システム、プラズマ処理システム、又は原子層堆積システムを有する、請求項24に記載の処理ツール。
  28. 処理監視系を更に有する請求項24に記載の処理ツール。
  29. 前記ガス注入系は、前記シリコン含有処理ガスと、水素含有ガス及びゲルマニウム含有ガスの少なくとも一方とに、前記微小造形物を晒すように構成されている、請求項24に記載の処理ツール。
  30. 基板上の微小造形物内にシリコン含有物質を堆積する方法であって:
    前記微小造形物を有する前記基板を処理システムの処理チャンバー内に設置する設置工程;
    前記微小造形物を実質的に充填するのに十分なだけ、HCD、DCS、SiCl及びSiHCl、又はこれらの組み合わせから成るグループの内の少なくとも1つであるシリコン含有処理ガスに、前記微小造形物を晒す暴露工程;及び
    前記シリコン含有ガスから得られるシリコン含有物質を前記微小造形物内に堆積する堆積工程;
    を有する方法。
  31. 基板上の微小造形物内にシリコン含有物質を堆積する方法であって:
    前記微小造形物を有する前記基板を処理システムの処理チャンバー内に設置する設置工程;
    (SiH+HCl)ガスに前記微小造形物を晒す暴露工程;及び
    前記シリコン含有ガスから得られるシリコン含有物質を前記微小造形物内に堆積する堆積工程;
    を有する方法。
  32. 微小造形物内にシリコン含有膜を堆積する処理ツールであって:
    処理システム;
    微小造形物を有する基板を前記処理システムの処理チャンバー内に設置するように構成された搬送系;
    前記処理システム内で(SiH+HCl)に前記微小造形物を晒すように構成されたガス注入系;及び
    当該処理ツールを制御するように構成された制御器;
    を有する処理ツール。
  33. 基板上の微小造形物内にシリコン含有物質を堆積する方法であって:
    前記微小造形物を有する前記基板を処理システムの処理チャンバー内に設置する設置工程;
    シリコンと塩素との双方を含有するガスに前記微小造形物を晒す暴露工程;及び
    前記ガスから得られるシリコン含有物質を前記微小造形物内に堆積する堆積工程;
    を有する方法。
  34. 前記暴露工程は更に、塩素を含有する第2のガスに前記微小造形物を晒すことを有する、請求項33に記載の方法。
  35. 前記ガスは実質的に1つの分子式を有する、請求項33に記載の方法。
  36. 前記ガスは2つ以上の分子式を有する、請求項33に記載の方法。
  37. 微小造形物を有する基板を処理する処理システムであって:
    前記基板を当該処理システムの処理チャンバー内に設置する手段;及び
    HCD、DCS、SiCl及びSiHClから成るグループの内の少なくとも1つを含む処理ガスに、前記微小造形物を晒す手段;
    を有する処理システム。
JP2007551250A 2005-01-18 2005-11-30 ヘキサクロロジシラン又はその他の塩素含有シリコン前駆体を用いた微小造形物充填方法及び装置 Active JP5068178B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/035,730 US7205187B2 (en) 2005-01-18 2005-01-18 Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US11/035,730 2005-01-18
PCT/US2005/043027 WO2006078354A2 (en) 2005-01-18 2005-11-30 Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor

Publications (3)

Publication Number Publication Date
JP2008527738A true JP2008527738A (ja) 2008-07-24
JP2008527738A5 JP2008527738A5 (ja) 2009-01-08
JP5068178B2 JP5068178B2 (ja) 2012-11-07

Family

ID=36684452

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007551250A Active JP5068178B2 (ja) 2005-01-18 2005-11-30 ヘキサクロロジシラン又はその他の塩素含有シリコン前駆体を用いた微小造形物充填方法及び装置

Country Status (7)

Country Link
US (1) US7205187B2 (ja)
EP (1) EP1842231A4 (ja)
JP (1) JP5068178B2 (ja)
KR (1) KR101133349B1 (ja)
CN (1) CN101111931B (ja)
TW (1) TWI306629B (ja)
WO (1) WO2006078354A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014060228A (ja) * 2012-09-14 2014-04-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2016009815A (ja) * 2014-06-26 2016-01-18 株式会社豊田中央研究所 半導体装置の製造方法
JP2017112382A (ja) * 2011-04-04 2017-06-22 オクメティック オーユーイー 1層以上の多結晶シリコン層を基材に堆積する方法
JP2017152426A (ja) * 2016-02-22 2017-08-31 東京エレクトロン株式会社 成膜方法
KR20180058235A (ko) * 2015-10-22 2018-05-31 어플라이드 머티어리얼스, 인코포레이티드 컨포멀한 갭-충전 비정질 실리콘 박막들의 증착
WO2024095785A1 (ja) * 2022-11-01 2024-05-10 東京エレクトロン株式会社 成膜方法及び成膜装置

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263474B2 (en) * 2007-01-11 2012-09-11 Tokyo Electron Limited Reduced defect silicon or silicon germanium deposition in micro-features
KR20100021432A (ko) * 2007-06-07 2010-02-24 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 반도체용 난연성 용매
DE102009002129A1 (de) 2009-04-02 2010-10-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Hartstoffbeschichtete Körper und Verfahren zur Herstellung hartstoffbeschichteter Körper
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9620356B1 (en) * 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004044970A1 (ja) * 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. 基板処理装置
JP2005322859A (ja) * 2004-05-11 2005-11-17 Sony Corp 半導体装置およびその製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US5766342A (en) * 1994-10-19 1998-06-16 Matsushita Electric Industrial Co., Ltd. Method for forming silicon film and silicon film forming apparatus
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5830330A (en) * 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
DE69923436T2 (de) * 1998-03-06 2006-01-05 Asm America Inc., Phoenix Verfahren zum beschichten von silizium mit hoher kantenabdeckung
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
KR100407684B1 (ko) * 2000-06-28 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
KR100459725B1 (ko) * 2002-09-19 2004-12-03 삼성전자주식회사 금속 게이트 패턴을 갖는 반도체소자의 제조방법
US6605535B1 (en) 2002-09-26 2003-08-12 Promos Technologies, Inc Method of filling trenches using vapor-liquid-solid mechanism
KR100505441B1 (ko) * 2003-04-04 2005-08-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004044970A1 (ja) * 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. 基板処理装置
JP2005322859A (ja) * 2004-05-11 2005-11-17 Sony Corp 半導体装置およびその製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017112382A (ja) * 2011-04-04 2017-06-22 オクメティック オーユーイー 1層以上の多結晶シリコン層を基材に堆積する方法
JP2014060228A (ja) * 2012-09-14 2014-04-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9396929B2 (en) 2012-09-14 2016-07-19 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, substrate processing apparatus and recording medium
JP2016009815A (ja) * 2014-06-26 2016-01-18 株式会社豊田中央研究所 半導体装置の製造方法
KR20180058235A (ko) * 2015-10-22 2018-05-31 어플라이드 머티어리얼스, 인코포레이티드 컨포멀한 갭-충전 비정질 실리콘 박막들의 증착
JP2018533219A (ja) * 2015-10-22 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形及び間隙充填型のアモルファスシリコン薄膜の堆積
KR102539130B1 (ko) * 2015-10-22 2023-05-31 어플라이드 머티어리얼스, 인코포레이티드 컨포멀한 갭-충전 비정질 실리콘 박막들의 증착
JP2017152426A (ja) * 2016-02-22 2017-08-31 東京エレクトロン株式会社 成膜方法
WO2024095785A1 (ja) * 2022-11-01 2024-05-10 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
CN101111931A (zh) 2008-01-23
EP1842231A2 (en) 2007-10-10
US20060160288A1 (en) 2006-07-20
WO2006078354A2 (en) 2006-07-27
KR101133349B1 (ko) 2012-04-06
US7205187B2 (en) 2007-04-17
CN101111931B (zh) 2010-05-12
KR20070092958A (ko) 2007-09-14
WO2006078354A3 (en) 2006-12-07
TW200639940A (en) 2006-11-16
JP5068178B2 (ja) 2012-11-07
EP1842231A4 (en) 2008-11-26
TWI306629B (en) 2009-02-21

Similar Documents

Publication Publication Date Title
JP5068178B2 (ja) ヘキサクロロジシラン又はその他の塩素含有シリコン前駆体を用いた微小造形物充填方法及び装置
KR102626263B1 (ko) 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US7468311B2 (en) Deposition of silicon-containing films from hexachlorodisilane
CN111247269B (zh) 介电膜的几何选择性沉积
US11049716B2 (en) Gap fill using carbon-based films
TWI775734B (zh) 圖案化結構上之方向性沉積
US9552982B2 (en) Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
KR20220129098A (ko) 순수 금속 막의 증착
JP5219505B2 (ja) チャンバー洗浄工程間の時間を延長する方法
CN105097446A (zh) 使用氯化钨前体制备钨和氮化钨薄膜的方法
KR20180014661A (ko) 질화막의 형성 방법 및 형성 장치
KR20220047333A (ko) 텅스텐 증착
US20050199872A1 (en) Silicon-germanium thin layer semiconductor structure with variable silicon-germanium composition and method of fabrication
JP7494209B2 (ja) 調整された原子層堆積
CN113710830A (zh) 高台阶覆盖率钨沉积

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120417

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120717

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120814

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5068178

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250