CN101111931A - 使用六氯乙硅烷或其它含氯硅前驱体的微构件填充工艺和装置 - Google Patents

使用六氯乙硅烷或其它含氯硅前驱体的微构件填充工艺和装置 Download PDF

Info

Publication number
CN101111931A
CN101111931A CNA2005800468576A CN200580046857A CN101111931A CN 101111931 A CN101111931 A CN 101111931A CN A2005800468576 A CNA2005800468576 A CN A2005800468576A CN 200580046857 A CN200580046857 A CN 200580046857A CN 101111931 A CN101111931 A CN 101111931A
Authority
CN
China
Prior art keywords
gas
little member
silicon
substrate
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800468576A
Other languages
English (en)
Other versions
CN101111931B (zh
Inventor
艾伦·利思
安东尼·迪朴
吴昇昊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101111931A publication Critical patent/CN101111931A/zh
Application granted granted Critical
Publication of CN101111931B publication Critical patent/CN101111931B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

本发明提供了一种通过处理系统中的低压沉积工艺在衬底上的微构件中沉积含硅膜的方法。通过在处理系统的处理室中提供衬底,然后将六氯乙硅烷(HCD)处理气体暴露于所述衬底,可以在微构件中形成含硅膜。本发明还提供了一种处理设备,所述处理设备包括使用含硅和氯的气体(例如HCD处理气体)在微构件中形成含硅膜的处理系统。或者,所述微构件可被暴露于DCS、SiCl4和SiHCl3气体。或者,所述微构件可被暴露于(SiH4+HCl)。

Description

使用六氯乙硅烷或其它含氯硅前驱体的微构件填充工艺和装置
相关申请的交叉引用
本申请与2003年9月30日提交的美国申请No.10/673375相关,通过引用将其全文结合于此。
技术领域
本发明涉及半导体处理,更具体地涉及使用六氯乙硅烷(HCD)处理气体来填充半导体衬底上的微构件的工艺和处理设备。
背景技术
在半导体器件的制造过程中,有时在衬底表面上形成微结构以隔离器件和形成其它器件(例如电容器)等。然后,通常用硅烷(SiH4)将这些微结构填充。随着电路结构缩小至越来越小的特征尺寸,衬底上的微构件的深宽比(深度与宽度之比)增大,见图1。当在多晶硅工艺中仅使用硅烷时,微构件内部的缺陷水平随着微构件深宽比增大而提高。此外,由于绝对尺寸降低,会出现不依赖于微构件深宽比的产生缺陷的新机制。一种常见的缺陷是在微构件内部产生空隙。这些空隙形成了高电阻区域,并且可能会干扰电路操作。
几种可能形成空隙的原因是:沉积气体耗尽、成核特性不同、存在气相自由基、以及氢气从沉积膜上释放。
发明概述
本文所用术语“微构件”是指在衬底中和/或在形成于衬底上的一个层或多个层中形成的构件(feature),其尺寸为微米级,通常为亚微米级,即小于1μm。微构件可以例如是沟槽、坡、谷或过孔。
本发明人已发现,因为在高沉积速率下,SiH4反应是传递控制的反应,所以可能会由于沉积气体耗尽而形成空隙。图2示出了微构件的顶部A和底部B。由于沉积速率依赖于衬底附近的反应物浓度,到达微构件底部B的SiH4反应物比到达顶部A的少,原因在于反应物在到达底部B之前被沉积。由于微构件顶部A暴露于更多的反应物,因此微构件顶部A的沉积速率高于微构件底部B的沉积速率。如图3所示,此较高的沉积速率最终阻塞了微构件的开口,以使反应物不能再到达微构件的底部B。因此,微构件的深宽比越高,微构件的开口越容易过早地封闭,从而形成空隙。
为了防止由于在微构件顶部出现较高的沉积速率而形成空隙,常规微构件填充技术降低了沉积初始阶段的总体沉积速率。当SiH4与惰性气体结合、或处理温度降低时,沉积速率降低。不存在能够将空隙形成降至零的方法,特别是当微构件具有高深宽比时。
与气体传递无关的形成空隙的潜在原因是存在气相SiH4自由基。这些自由基易于聚集在晶圆边缘,其次聚集在衬底表面和待填充微构件的角落附近和周围。由于这些自由基的气相聚集群在微构件中被消耗,因此微构件内的沉积可用的自由基将更少。
空隙形成的另一种可能机制是氢气从沉积膜上释放。可以看出,较高温度下的沉积后处理导致额外的结晶和氢气释放。结果造成沉积膜中的体积减小以及空隙形成。
氢气释放发生在不同于微构件填充本身的其它工艺中。例如,解决关于微构件填充的传递问题的一种可能的方案是在试图填充微构件之前在低温下沉积无定型硅种子层。然而,这样做会导致氢气释放,实际上是用一种空隙形成机制取代了另一种。
此外,本发明人已发现,随着微构件开口变小,出现了间隙形成的新现象。对于开口大约数百埃、深度可达数千埃的微构件,深宽比可为5∶1或10∶1。尽管此深宽比可类似于已知微构件的深宽比,但是微构件开口的绝对尺寸远小于传统的微构件开口。如图4所示,对于如此小的微构件开口,微构件开口的封闭可以通过与较之微构件底部在顶部优先沉积不同的方式发生。以此方式形成的空隙具有近乎矩形的剖面。本发明人已发现,当微构件开口的宽度小于约1000埃至数百埃的范围(可沿微构件侧壁随机形成的Si种子的量级)时,沉积速率几乎为零,而并非在微构件底部缓慢沉积。
本发明的一个目的是提供在处理系统的处理室中对半导体晶圆上的微构件进行填充的方法和系统,所述方法和系统减轻或解决了常规沉积系统和方法具有的上述问题和/或其它问题。
本发明的另一个目的是提供将含硅膜与半导体应用相结合的成本低廉的方案。
本发明的上述和/或其它目的可通过在衬底上沉积含硅膜的方法来实现。该方法包括:在处理系统的处理室中提供衬底;使六氯乙硅烷(HCD,Si2Cl6)处理气体流入所述处理室中和所述衬底上的微构件内。
在本发明的另一方面,提供了将含硅材料沉积在微构件中而不形成空隙的处理设备。该处理设备包括:转移系统,其被配置成用于在处理系统的处理室中提供衬底;气体注射系统,其被配置成用于将HCD处理气体暴露于所述衬底以在微构件中沉积含硅材料;控制器,其被配置成用于控制所述处理设备。
附图说明
图1示出了衬底上的标有宽度和深度的微构件;
图2示出了标有顶部和底部区域的微构件;
图3示出了填充工艺后的微构件内的空隙;
图4示出了当微构件的绝对尺寸小于约1000埃时所形成的空隙;
图5示出了根据本发明的一种实施方式的用于在衬底上沉积含硅膜的一种批量式处理系统的简化框图;
图6示出了根据本发明的一种实施方式的用于在衬底上沉积含硅膜的另一种批量式处理系统的简化框图;
图7示出了根据本发明的一种实施方式的处理设备的简化框图;
图8示出了根据本发明的一种实施方式将含硅膜沉积在微构件中的流程图;
图9示出了可用于实施本发明的通用计算机。
发明详述
本文所用术语“微构件”是指在衬底中和/或在形成于衬底上的一个层或多个层中形成的构件,其尺寸为微米级,通常为亚微米级,即小于1μm。微构件可以例如是沟槽、坡、谷或过孔。
本发明的一种示例性实施方式详述了使用HCD或另一种含氯硅前驱体作为用于填充多晶硅微构件的主要沉积气体。HCD的沉积特性使其成为用于该目的的理想气体。在大多数操作温度下,使用HCD时的沉积速率远低于SiH4。而且,HCD沉积是反应控制的沉积,而不是传递控制的沉积。这减轻了微构件开口的过早封闭的问题,原因在于反应物能够更好地到达微构件底部。
此外,与SiH4不同,HCD不含氢。因此,沉积膜不会发生如仅使用SiH4沉积的膜那样的氢气释放。而且,如果膜是用含氢气体沉积的,可从HCD或另一种含氯气体得到的氯将有助于降低膜中的氢水平,从而减少氢气释放。这些特性导致,用HCD气体或含氯气体的沉积对目前和将来的结构的保形性远胜于用常规处理气体的沉积,并且在微结构中形成空隙的可能性更低。
HCD通过两种方式克服了存在气相自由基的问题。首先,HCD提供了更均匀的气相物质的第二源,导致更保形的沉积。其次,HCD还是重要的氯源,这有利于减慢成核速率,这是由SiH4以及与HCD组合使用的任何其它含氢气体的所得气相自由基造成的。
而且,HCD对很小的几何结构具有更好的沉积特性。HCD沉积减少或防止种子在微构件顶部早期形成。如上所述,此种子形成可在微构件底部产生接近零的沉积速率。当发生这种情况时,沉积在微构件底部上方某处的近似水平线上终止。
HCD处理气体的沉积特性也可通过使用附加气体改变。H2、GeH4、B2H6、PH3和SiH4以及其它气体可与HCD一起被引入,以影响沉积特性和/或沉积膜的特性。B2H6和PH3例如会影响电导率,而H2和SiH4可被添加以提高沉积速率。通常,在微构件填充工艺中形成的膜非常厚,因此用沉积速率低的工艺来形成全部的膜厚度通常不切实际。H2和/或SiH4的添加会将沉积速率提高到可制造的水平。
根据待填充的几何结构,可以首先用HCD或其它含氯硅前驱体在微构件底部沉积初始层,然后在沉积工艺的剩余部分转换成另一种处理气体。可以使用这种方式转换处理气体,以缩短处理时间或影响随后沉积的材料的平滑度。
在一种实施方式中,处理气体可以在工艺开始时为HCD或HCD的混合物,然后在工艺过程中,HCD的百分比可以变化。例如,为了填充微构件,可在工艺开始使用HCD或HCD与另一种气体的组合。然后,在微构件被基本填充之前不久,可将处理气体改变成具有更高沉积速率的气体或气体组合。可在微构件被基本填充之时或微构件被填充之后不久进行处理气体的另一次改变。或者,可在工艺过程中连续地改变气体组合。在一种实施方式中,处理气体(HCD)的百分比可以以小步长递减或沿着渐变曲线递减,而具有更高的沉积速率的另一种气体的百分比逐步增加。
如果在微构件填充完成时或完成前后不久,将处理气体由HCD或另一种类似气体转换,则可通过例如数学计算或试差法确定这样的转换点。
通过使用其它含氯硅前驱体可以实现用HCD作为处理气体的某些好处,但处理温度可能会升高。这种含氯硅前驱体的例子是:SiH4+HCl、二氯硅烷、四氯硅烷和三氯硅烷等。这些含氯气体也可以与上面所列的附加气体组合使用,以达到相同的沉积特性和/或沉积膜特性。基本上只包含例如HCD作为活性气体的处理气体会被描述成只具有一个分子式的处理气体。包含SiH4和HCl二者作为活性气体的处理气体会被描述成具有多于一个分子式的处理气体。
此外,可在工艺中不同点时添加含氯气体以影响沉积特性,即使该气体本身可能不包含硅。
HCD或其它含氯前驱体与SiH4之比的范围通常为:(基本上不含SiH4的纯HCD)至(约1单位HCD∶4单位SiH4)的组合。优选范围为从(2单位HCD∶1单位SiH4)至(1单位HCD∶2单位SiH4)。典型的HCD或其它含氯前驱体与SiH4之比约为50vol%HCD∶50vol%SiH4。可以包含或不包含惰性气体。
值得注意的是,使用传统的硅源气体不会提供含硅膜的低温沉积或高选择性的膜生长。然而,在很大程度上,对其它硅源气体的使用并没有研究,这可能是由于新的源气体难以在半导体工业中实现以及在批量式处理室中在不同的晶圆位置难以提供均匀的处理结果。因此,本发明人进行了实验,以对使用六氯乙硅烷(HCD)处理气体在衬底上的微构件中沉积含硅膜进行分析。作为这种实验和分析的结果,本发明人已发现,在处理系统中,HCD处理气体的低压暴露为在微构件中低温沉积含硅膜提供了可行的机制。
如果HCD处理气体不是暴露于硅表面,而是暴露于包含例如氧化物、氮化物或金属之类的材料的表面,则使用HCD处理气体的含硅膜的沉积可以形成具有精细硅晶粒的含多晶硅的膜或含无定型硅的膜。含多晶硅的膜中的晶粒尺寸可以依赖于沉积条件以及热处理。
HCD是可购得的具有高反应性的硅化合物,并且是很强的脱氧剂。作为使用HCD处理气体在处理系统中沉积含硅膜的实验和分析的结果,本发明人已发现,在相同的温度下,与在H2或HCl的存在下使用常规DCS分解相比,使用HCD处理气体在衬底上沉积含硅膜的低压热分解工艺可以得到更高的沉积速率。可用HCD得到的较高沉积速率例如可使制造性沉积工艺在较低的衬底温度下进行,同时仍保持足够高的含硅膜沉积速率。尽管上述实验是以在批量式处理系统中运行的方式描述的,但是本发明不限于这样的处理系统,本领域技术人员可以认识到,本发明也可以在单个晶圆处理系统中实现。
特别地,在处理系统中,在低压沉积工艺中,可以使用HCD处理气体将含硅膜沉积在衬底上。相同或相似的工艺可用于填充衬底上的微构件。在本工艺中,在处理室中提供衬底,用真空泵系统降低室压力,并使室温度和压力稳定。接着,可将处理室温度和处理室压力调至所需值。当达到处理温度时,可将衬底处理一段时间,从而在衬底上形成所需的含硅膜。在工艺结束时,可将处理室排空并用惰性气体清洗,并将衬底从处理室移出。此外,在沉积含硅膜或填充微构件之前,可以进行衬底预处理工艺。此预处理可包括在900℃的衬底温度下将衬底暴露于清洁气体(例如H2气),以将杂质和氧化物层从衬底去除。
现在参照附图,图5示出了根据本发明的一种实施方式的用于在衬底上沉积含硅膜的批量式处理系统的简化框图。批量式处理系统100包括处理室102、气体注射系统104、加热器122、真空泵系统106、工艺监测系统108和控制器124。多个衬底110可被装入处理室102,并通过衬底支架112进行处理。此外,处理室102包括外区114和内区116。在本发明的一种实施方式中,内区116可为处理管。
气体注射系统104可将气体引入处理室102,用于清洗处理室102,并用于准备、清洁和处理衬底110。气体注射系统104例如可包括液体输送系统(LDS),所述液体输送系统包含汽化器,以使HCD液体汽化。被汽化的液体可借助于载气流入处理室102。或者,气体注射系统可包括鼓泡系统,用于将载气鼓泡通过包含HCD前驱体的容器。可以设置多条气体供给线以使气体流入处理室102。可将气体引入由内区116所限定的空间118,并使其暴露于衬底110。然后,气体可流入由内区116和外区114所限定的空间120,并通过真空泵系统106从处理室102排出。
衬底110可被装入处理室102,并通过衬底支架112进行处理。批量式处理系统100可容许大量紧密叠积的衬底110被处理,从而得到高衬底处理量。衬底的批次大小例如可以是约100个衬底(晶圆)或更少。或者,批次大小可为约25个衬底或更少。处理室102例如可以处理任何尺寸的衬底,例如200mm的衬底、300mm的衬底或甚至更大的衬底。衬底110例如可以包括半导体衬底(例如,硅或化合物半导体)、LCD衬底和玻璃衬底。除未经处理的衬底之外,还可以使用在其上具有多个形成的膜的衬底,这些膜包括但不限于,硅膜、金属膜、氧化物膜、氮化物膜和氧氮化物膜。
批量式处理系统100可由控制器124控制,所述控制器124能够产生足以传达和激活批量式处理系统100的输入以及监测其输出的控制电压。而且,控制器124可与处理室102、气体注射系统104、加热器 122、处理检测系统108和真空泵系统106相耦合,并与其交换信息。例如,存储在控制器124的存储器中的程序可被用于根据所存储的工艺配方控制批量式处理系统100的前述组件。控制器124的一个例子是可从得克萨斯州达拉斯的Dell Corporation得到的DELL PRECISION WORKSTATION 610TM
可利用工艺监测系统108进行实时工艺监测。通常,工艺监测系统108为多功能监测系统,并可以例如包括质谱仪(MS)和傅立叶变换红外(FTIR)光谱仪。工艺监测系统108可对处理环境中的气态化学物质提供定性和定量的分析。可监测的工艺参数包括气体流率、气体压力、气态物质比例以及气体纯度。这些参数可与先前的工艺结果和经沉积的含硅膜的各种物理性质关联。
图6示出了根据本发明的一种实施方式的用于在衬底上沉积含硅膜的另一种批量式处理系统的简化框图。批量式处理系统1包括处理室10和处理管25,处理管25上端与排气管80相连接,下端与柱状歧管2的盖27密封相连。排气管80将来自处理管25的气体排放至真空泵系统88,以使处理系统1中的压力保持预定的大气压或低于大气压。用于夹持以叠层方式排列(在垂直方向上间隔的各个水平面上)的多个衬底(晶圆)40的衬底支架35被置于处理管25中。衬底支架35设置在转盘26上,转盘26安装在穿过盖27的旋转轴21上,并由电动机28驱动。转盘26可在处理过程中旋转,以改善膜的整体均匀性,或者所述转盘可在处理过程中固定。盖27安装在升降机22上,升降机22用于将衬底支架35转移进出反应管25。当盖27位于其最高位置时,盖27用于将歧管2的开口端封闭。
可在歧管2附近设置多条气体供给线,以将多种气体通过该气体供给线供给到处理管25中。在图6中,仅示出了多条气体供给线中的一条气体供给线45。气体供给线45与气体注射系统94相连接。设置柱状热反射器30以覆盖反应管25。热反射器30具有镜面抛光的内表面,以抑制由主加热器20、底部加热器65、顶部加热器15和排气管加热器70辐射的热被耗散。在处理室10的壁面中形成螺旋状冷却水通路(未示出),作为冷却介质通路。
真空泵系统88包括真空泵86、捕集器84、自动压力控制器(APC)82。真空泵86例如可以包括泵浦速度可达20000升每秒(及更大)的干燥真空泵。在处理过程中,可将气体经由气体注射系统94引入处理室10,并可通过APC 82调节处理压力。捕集器84可从处理室10收集未反应的前驱体材料和副产物。
工艺监测系统92包括能够实时进行工艺监测的传感器75,并可以例如包括MS质谱仪和FTIR光谱仪。控制器90包括微处理器、存储器和数字I/O端口,数字I/O端口能够产生足以传达和激活处理系统的输入以及监测其输出的控制电压。而且,控制器90可与气体注射系统94、电动机28、工艺监测系统92、加热器20、15、65、70和真空泵系统88相耦合,并与其交换信息。如同图1的控制器124,控制器90可为DELLPRECISION WORKSTATION 610TM
图7示出了根据本发明的一种实施方式的处理设备的简化框图。处理设备200包括处理系统220和230、被配置成用于在处理设备200内转移衬底的(自动)转移系统210以及被配置成用于控制处理设备200的控制器240。在本发明的另一种实施方式中,处理设备200可包括单个处理系统,或者可包括多于两个的处理系统。在图7中,处理系统220和230可以例如进行至少一种下列过程:(a)衬底预处理;(b)在衬底上沉积含硅膜;(c)确定衬底和其上沉积的含硅膜中的至少一个的性质;(d)用含硅材料填充微构件。在(a)中,可例如进行预处理以从衬底表面去除杂质和/或氧化物薄膜(例如,天然氧化物膜或化学氧化物膜)。硅表面上的杂质或氧化物膜的存在可能抑制适当的硅种子层形成(成核),从而影响外延硅沉积。在一个实施例中,预处理可包括在约500-1000℃(例如900℃)下将衬底暴露于H2气。在(c)中,膜性质可例如包括含硅膜的厚度和掺杂物水平。在(d)中,尽管深宽比高或开口尺寸小于1000埃,也可填充形成在衬底上的微构件。在本发明的一种实施方式中,过程(a)-(d)中的每一个可在不同的处理系统中进行。在本发明的另一种实施方式中,过程(a)-(d)中的至少两个在相同的处理系统中进行。在本发明的一种实施方式中,至少一个处理系统可包括批量式处理系统或单晶圆处理系统。在本发明的另一种实施方式中,至少一个处理系统可包括热处理系统、等离子体处理系统或原子层沉积系统。
如同图5和6中的控制器,控制器240可为DELL PRECISIONWORKSTATION 610TM。此外,图5-6和2中的控制器可为通用计算机系统,例如图9中描述的通用计算机系统。
在图8中,示出了工艺步骤流程图。工艺开始于步骤400。在步骤402中,通过机械手或通过手将衬底放置在处理室中。应当注意,此时,微构件可以已经存在于衬底上,或者微构件可以在将衬底放入处理室之后形成。在步骤406中,衬底和微构件被暴露于HCD气体或另一种含氯硅前驱体。在步骤408中将含硅材料沉积在微构件内,然后在步骤410中终止该工艺。
可以使用惰性气体作为液体形式的HCD的载气,或用于稀释HCD气体以减少化学反应在室环境中而非在衬底表面上或微构件内部发生。在本发明的另一种实施方式中,HCD处理气体可包含HCD气体和可选的惰性气体以及含氢气体与第二含硅气体中的至少一种。含氢气体可以例如包含H2。发现,向HCD气体添加H2和/或SiH4可提高硅沉积速率,而B2H6和PH3的添加会影响电导率。第二含硅气体可例如选自SiH4、SiCl4、Si2H6和SiCl2H2。在本发明的另一种实施方式中,HCD处理气体可包含HCD气体和掺杂气体,所述掺杂气体可例如选自含磷气体(例如PH3)、含砷气体(例如AsH3)、含氮气体(例如NH3)和含硼气体(例如B2H6和BCl3)。在本发明的另一种实施方式中,HCD处理气体可包含含卤气体,所述含卤气体可例如选自HF、F2、Cl2、NF3和HCl。在本发明的另一种实施方式中,HCD处理气体可包含HCD气体和含锗气体,所述含锗气体可例如选自GeH4和GeCl4,以沉积SiGe膜。此外,可以使用B2H6。在另一种实施方式中,微构件填充气体组合可包括:SiH4+HCl、DCS、SiCl4或SiHCl3
在本发明的一种实施方式中,图8中的流程图所示的沉积工艺还可包括在沉积含硅膜之前对衬底进行预处理。预处理工艺例如可从衬底材料(例如硅)基本上去除氧化物层(例如,天然氧化物或热氧化物)以及其它界面杂质,而这些氧化物或杂质可能抑制适当的硅种子层的形成(成核)、阻碍含硅膜在沉积表面上沉积、降低硅沉积的选择性并阻碍微构件的完全填充。在一个实施例中,预处理可包括在900℃的衬底温度下将硅衬底暴露于H2气。
用于沉积含硅膜的工艺条件可以包括小于约1Torr的处理室压力。示例性的处理室压力可为约0.1Torr,该压力优选为约0.2Torr。工艺条件还可包括约500-650℃、优选约600℃的衬底温度。在步骤408中,由于HCD处理气体的分解而将含硅膜沉积在衬底上。
可以通过直接实验和/或实验设计(DOE)来确定能够用含硅膜(具有期望的膜性质)填充微构件的合适工艺条件。可调节的工艺参数可例如包括衬底温度、处理压力、处理气体的类型和相对气体流量。如上所述,HCD处理气体可例如包含HCD气体和可选的惰性气体以及含氢气体与第二含硅气体中的至少一种。HCD气体的流率可例如为约5-1000sccm,惰性气体(如果存在)的流率可例如为约5-20000sccm,含氢气体的流率可例如为约5-5000sccm,第二含硅气体的流率可例如为约10-1000sccm。
在本发明的一种实施方式中,处理温度为约600℃,处理压力为约200mTorr,处理气体为约40sccm的HCD和40sccm的SiH4
使用HCD的典型沉积速率可能低于纯SiH4的沉积速率,典型的纯SiH4的沉积速率为约80埃/分钟。纯HCD的沉积速率的量级为约4埃/分钟。例如,对应于约100%HCD的处理气体,沉积速率为约4埃/分钟。
图9示出了可以用于执行本发明的实施方式的计算机系统1201。计算机系统1201可用作图5、6或7的控制器,或可用于这些图中的系统以实现上述的任意或全部功能的类似控制器。计算机系统1201包括总线1202或其它用于交换信息的交换机构,以及与总线1202耦合的用于处理信息的处理器1203。计算机系统1201还包括与总线1202耦合的用于存储处理器1203执行的信息和指令的主存储器1204,例如随机存储器(RAM)和其它动态存储设备(例如动态RAM(DRAM)、静态RAM(SRAM)和同步DRAM(SDRAM))。此外,在处理器1203执行指令过程中,主存储器1204可用于存储临时变量或其它中间信息。计算机系统1201还包括与总线1202耦合的用于为处理器1203存储静态信息和指令的只读存储器(ROM)1205或其它静态存储设备(例如可编程ROM(PROM)、可擦除PROM(EPROM)和可电擦除PROM(EEPROM))。
计算机系统1201还包括与总线1202耦合以控制存储信息和指令的一个或多个存储设备的磁盘控制器1206,例如硬磁盘1207和可移动介质驱动器1208(例如软盘驱动器、只读光盘驱动器、读/写光盘驱动器、磁带驱动器和可移动磁光驱动器)。存储设备可使用合适的设备接口(例如,小型计算机系统接口(SCSI)、集成电子设备(IDE)、增强的IDE(E-IDE)、直接存储器存取(DMA)或超DMA)添加到计算机系统1201。
计算机系统1201还可包括特定用途的逻辑器件(例如专用集成电路(ASIC))或可配置逻辑器件(例如简单可编程逻辑器件(SPLD)、复杂可编程逻辑器件(CPLD)和现场可编程门阵列(FPGA))。计算机系统还可包括一个或多个数字信号处理器(DSP),例如Texas Instruments的TMS320系列芯片、Motorola的DSP56000、DSP56100、DSP56300、DSP56600和DSP96000系列芯片、Lucent Technologies的DSP1600和DSP3200系列或者Analog Devices的ADSP2100和ADSP21000系列。也可以使用其它特别为处理模拟信号设计但已经转而用于数字领域的处理器。
计算机系统1201还可包括与总线1202耦合以控制用于向计算机用户显示信息的显示器1210(例如阴极射线管(CRT))的显示控制器1209。计算机系统包括输入设备,例如键盘1211和定位设备1212,用于与计算机用户互动并向处理器1203提供信息。定位设备1212例如可以是鼠标、轨迹球或定位杆,用于将方向信息和命令选择传达至处理器1203并控制显示器1210上的光标运动。此外,打印机可以提供存储在计算机系统1201中和/或由计算机系统1201生成的数据列表。
响应于处理器1203执行包含在存储器(例如主存储器1204)中的一个或多个指令的一个或多个序列,计算机系统1201运行本发明的部分或全部处理步骤。这样的指令可以从另外的计算机可读介质(例如硬盘1207或可移动介质驱动器1208)读入主存储器1204。还可以使用以多处理器布置的一个或多个处理器来执行包含在主存储器1204中的指令序列。在其它实施方式中,可用硬连线电路取代软件指令或与其组合使用。因此,本发明的实施方式并不限于硬件电路和软件的具体组合。
如上所述,计算机系统1201包括至少一种计算机可读介质,用于保存根据本发明的教导编程的指令以及容纳本文所述的数据结构、表格、记录或其它数据。计算机可读介质的例子是高密度磁盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、快速EPROM)、DRAM、SRAM、SDRAM、或任何其它磁性介质、光盘(例如CD-ROM)、或任何其它光学介质、穿孔卡、纸质磁带、或其它具有图案化孔的物理介质、载波(下面描述)、或任何其它计算机可以读取的介质。
本发明包括存储在任意一种计算机可读介质上或计算机可读介质的组合上的软件,用于控制计算机系统1201、驱动实施本发明的设备并使计算机系统1201能够与用户(例如印刷生产人员)互动。这样的软件包括但不限于,设备驱动、操作系统、开发工具和应用软件。这样的计算机可读介质还包括本发明的计算机程序产品,用于运行实现本发明的处理的全部或部分(如果处理为分布式)。
本发明的计算机编码设备可以是任何可编译或可执行的编码机制,包括但不限于,脚本、可编译程序、动态链接库(DLL)、Java类和完全可执行程序。而且,可以分布式进行本发明的处理,以获得更好的性能、可靠性和/或成本。
本文所用术语“计算机可读介质”是指参与向处理器1203提供用于执行的指令的任何介质。计算机可读介质可以具有多种形式,包括但不限于,非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘、磁盘和磁光盘,例如硬盘1207或可移动介质驱动器1208。易失性介质包括动态存储器,例如主存储器1204。传输介质包括同轴电缆、铜导线和光纤,包括构成总线1202的导线。传输介质还可以具有声波或光波的形式,例如在无线电波和红外数据通信过程中产生的那些。
可使用各种形式的计算机可读介质来运行一个或多个到处理器1203的用于执行的指令的一个或多个序列。例如,指令可以最初在远程计算机的磁盘上运行。远程计算机可以将用于实施全部或部分本发明的指令装入动态存储器,并利用调制解调器在电话线上传送该指令。计算机系统1201本地的调制解调器可以接收电话线上的数据,并用红外传输器将该数据转化为红外信号。与总线1202耦合的红外检测器可以接收红外信号中传送的数据,并将该数据置于总线1202上。总线1202将该数据传送至主存储器1204,处理器1203从主存储器1204取得并执行指令。在处理器1203执行之前或之后,主存储器1204接收的指令可以可选地存储在存储设备1207或1208上。
计算机系统1201还包括与总线1202耦合的通信接口1213。通信接口1213提供了耦合至网络链路1214的双向数据通信,所述网络链路1214例如与局域网(LAN)1215相连或与另外的通信网络1216(例如互联网)相连。例如,通信接口1213可以是与任何包交换LAN连接的网络接口卡。作为另一个例子,通信接口1213可以是非对称数字用户线路(ADSL)卡、集成服务数字网络(ISDN)卡或调制解调器,以向相应类型的通信线路提供数据通信连接。也可以使用无线链路。在任何这样的实施方式中,通信接口1213发送和接收传送代表各种信息的数字数据流的电信号、电磁信号或光信号。
网络链路1214通常通过一个或多个网络向其它数据设备提供数据通信。例如,网络链路1214可以通过局部网络1215(例如LAN)或通过由服务提供商(其通过通信网络1216提供通信服务)操作的装置与其它计算机连接。局部网络1214和通信网络1216使用例如传送数字数据流的电信号、电磁信号或光信号以及相关的物理层(例如CAT5电缆、同轴电缆、光纤等)。通过各种网络的信号以及在网络链路1214上且通过通信接口1213的信号可以是基带信号或基于载波的信号,这些信号将数字数据传入或传出计算机系统1201。基带信号作为描述数字数据比特流的未调制电信号传送,其中术语“比特”应被广泛地理解为是指符号,其中每个符号传送至少一个或多个信息比特。数字数据还可用于调制载波,例如用在导电介质上传播或作为电磁波通过传播介质传输的幅移、相移和/或频移键控信号。因此,数字数据可作为未调制的基带数据通过“有线”通信通道发送,和/或通过调制载波在不同于基带的预定频带内发送。计算机系统1201可通过网络1215和1216、网络链路1214和通信接口1213传输和接收数据(包括程序代码)。而且,网络链路1214可通过LAN 1215与移动设备1217(例如个人数字助理(PDA)便携式计算机或蜂窝电话)相连。
尽管上面详细描述了本发明的特定实施方式,但是本领域的技术人员应当容易理解,在不实质性地脱离本发明的新颖教导和优点的前提下,在示例性实施方式中可以存在很多改进。因此,所有这样的改进均包含在本发明的范围内。

Claims (37)

1.一种在衬底上的微构件中沉积含硅材料的方法,所述方法包括:
在处理系统的处理室中提供包括微构件的衬底;
将含硅处理气体暴露于所述微构件,其中所述含硅处理气体为HCD、DCS、SiCl4和SiHCl3中的至少一种或其组合;以及
将由所述含硅气体得到的含硅材料沉积在所述微构件中。
2.如权利要求1的方法,其中所述暴露操作还包括使所述含硅处理气体以约5-1000sccm的流率流动。
3.如权利要求1的方法,其中所述暴露操作还包括使所述含硅气体以约80sccm的流率流动。
4.如权利要求1的方法,其中所述暴露操作还包括将含氢气体暴露于所述微构件。
5.如权利要求1的方法,其中所述暴露操作还包括将包含H2、GeH4、B2H6、PH3和SiH4中的至少一种或其任意组合的含氢气体暴露于所述微构件。
6.如权利要求5的方法,其中所述含硅气体与所述含氢气体之比为约2∶1-1∶2之间。
7.如权利要求1的方法,其中所述暴露操作还包括使含氢气体以约5-5000sccm的流率流动。
8.如权利要求1的方法,其中所述暴露操作还包括将第二含硅气体暴露于所述微构件。
9.如权利要求8的方法,其中所述暴露操作还包括使所述第二含硅气体以约5-1000sccm的流率流动。
10.如权利要求1的方法,其中所述暴露操作还包括将HCD、(SiH4+HCl)、DCS、SiCl4、(HCD+SiH4)和SiHCl3中的任意两种暴露于所述微构件。
11.如权利要求1的方法,其中所述暴露操作还包括将含氢气体和第二含硅气体暴露于所述微构件。
12.如权利要求1的方法,其中所述暴露操作还包括将所述含硅气体以及含磷气体、含硼气体和含锗气体中的至少一种暴露于所述微构件。
13.如权利要求1的方法,其中所述暴露操作还包括将含氢气体和含锗气体暴露于所述微构件。
14.如权利要求1的方法,其中所述暴露操作还包括将H2和GeH4暴露于所述微构件。
15.如权利要求1的方法,其中所述暴露操作还包括,首先用所述含硅处理气体进行处理,然后在所述微构件被基本填充之前将所述处理气体转换为另一种含硅气体。
16.如权利要求1的方法,其中所述暴露操作还包括,首先用所述含硅处理气体进行处理,然后在所述微构件被基本填充之前将所述处理气体转换为HCD和另一种含硅气体。
17.如权利要求1的方法,其中所述暴露操作还包括,首先用所述含硅处理气体进行处理,然后大约在所述微构件被基本填充时将所述处理气体转换为另一种含硅气体。
18.如权利要求1的方法,其中所述暴露操作还包括,首先用所述含硅处理气体进行处理,然后大约在所述微构件被基本填充时将所述处理气体转换为HCD和另一种含硅气体。
19.如权利要求1的方法,其中所述暴露操作还包括,首先用所述含硅处理气体进行处理,然后逐步改变所述含硅处理气体的百分比,并逐步代之以另一种含硅气体。
20.如权利要求1的方法,还包括提供约0.1-1Torr的处理室压力。
21.如权利要求1的方法,还包括提供约0.2Torr的处理室压力。
22.一种包含用于在处理器上执行的程序指令的计算机可读介质,当所述程序指令被所述处理器执行时,可使处理装置进行权利要求1所述的方法中的步骤。
23.一种处理包括微构件的衬底的系统,包括:
用于在处理系统的处理室中提供所述衬底的装置;以及
用于将所述微构件基本填充的装置。
24.一种在微构件中沉积含硅膜的处理设备,包括:
处理系统;
转移系统,其被配置成用于在所述处理系统的处理室中提供包括微构件的衬底;
气体注射系统,其被配置成用于在所述处理系统中将含硅处理气体暴露于所述微构件,其中所述含硅处理气体选自HCD、DCS、SiCl4和SiHCl3;以及
控制器,其被配置成用于控制所述处理设备。
25.如权利要求24的处理设备,其中所述处理系统包括批量式处理系统或单晶圆处理系统。
26.如权利要求24的处理设备,其中所述处理系统包括包含处理管的批量式处理系统。
27.如权利要求24的处理设备,其中所述处理系统包括热处理系统、等离子体处理系统或原子层沉积系统。
28.如权利要求24的处理设备,还包括工艺监测系统。
29.如权利要求24的处理设备,其中所述气体注射系统其被配置成用于将所述含硅处理气体以及含氢气体和含锗气体中的至少一种暴露于所述微构件。
30.一种在衬底上的微构件中沉积含硅材料的方法,所述方法包括:
在处理系统的处理室中提供包括微构件的衬底;
将含硅处理气体暴露于所述微构件,其中所述含硅处理气体为HCD、DCS、SiCl4和SiHCl3中的至少一种或其组合,其量足以基本填充所述微构件;以及
将由所述含硅气体得到的含硅材料沉积在所述微构件中。
31.一种在衬底上的微构件中沉积含硅材料的方法,所述方法包括:
在处理系统的处理室中提供包括微构件的衬底;
将(SiH4+HCl)气体暴露于所述微构件;以及
将由所述含硅气体得到的含硅材料沉积在所述微构件中。
32.一种在微构件中沉积含硅膜的处理设备,包括:
处理系统;
转移系统,其被配置成用于在所述处理系统的处理室中提供包括微构件的衬底;
气体注射系统,其被配置成用于在所述处理系统中将(SiH4+HCl)气体暴露于所述微构件;以及
控制器,其被配置成用于控制所述处理设备。
33.一种在衬底上的微构件中沉积含硅材料的方法,所述方法包括:
在处理系统的处理室中提供包括微构件的衬底;
将同时包含硅和氯的气体暴露于所述微构件;以及
将由所述气体得到的含硅材料沉积在所述微构件中。
34.如权利要求33的方法,其中所述暴露操作还包括将包含氯的第二气体暴露于所述微构件。
35.如权利要求33的方法,其中所述气体基本具有一个分子式。
36.如权利要求33的方法,其中所述气体具有多于一个的分子式。
37.一种用于处理包括微构件的衬底的系统,包括:
用于在处理系统的处理室中提供衬底的装置;以及
用于将包含HCD、DCS、SiCl4和SiHCl3中的至少一种的处理气体暴露于所述微构件的装置。
CN2005800468576A 2005-01-18 2005-11-30 使用六氯乙硅烷或其它含氯硅前驱体的微构件填充工艺和装置 Active CN101111931B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/035,730 2005-01-18
US11/035,730 US7205187B2 (en) 2005-01-18 2005-01-18 Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
PCT/US2005/043027 WO2006078354A2 (en) 2005-01-18 2005-11-30 Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor

Publications (2)

Publication Number Publication Date
CN101111931A true CN101111931A (zh) 2008-01-23
CN101111931B CN101111931B (zh) 2010-05-12

Family

ID=36684452

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800468576A Active CN101111931B (zh) 2005-01-18 2005-11-30 使用六氯乙硅烷或其它含氯硅前驱体的微构件填充工艺和装置

Country Status (7)

Country Link
US (1) US7205187B2 (zh)
EP (1) EP1842231A4 (zh)
JP (1) JP5068178B2 (zh)
KR (1) KR101133349B1 (zh)
CN (1) CN101111931B (zh)
TW (1) TWI306629B (zh)
WO (1) WO2006078354A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108140562A (zh) * 2015-10-22 2018-06-08 应用材料公司 共形且间隙填充非晶硅薄膜的沉积
CN110291223A (zh) * 2017-02-16 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 用于形成含Si膜的方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263474B2 (en) * 2007-01-11 2012-09-11 Tokyo Electron Limited Reduced defect silicon or silicon germanium deposition in micro-features
KR20100021432A (ko) * 2007-06-07 2010-02-24 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 반도체용 난연성 용매
DE102009002129A1 (de) 2009-04-02 2010-10-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Hartstoffbeschichtete Körper und Verfahren zur Herstellung hartstoffbeschichteter Körper
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
FI124354B (fi) * 2011-04-04 2014-07-15 Okmetic Oyj Menetelmä yhden tai useamman polykiteisen piikerroksen pinnoittamiseksi substraatille
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6022273B2 (ja) * 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6055795B2 (ja) * 2014-06-26 2016-12-27 株式会社豊田中央研究所 半導体装置の製造方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9620356B1 (en) * 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
JP6640596B2 (ja) * 2016-02-22 2020-02-05 東京エレクトロン株式会社 成膜方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP2024066258A (ja) * 2022-11-01 2024-05-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US5766342A (en) * 1994-10-19 1998-06-16 Matsushita Electric Industrial Co., Ltd. Method for forming silicon film and silicon film forming apparatus
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5830330A (en) * 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
KR100652909B1 (ko) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
KR100407684B1 (ko) * 2000-06-28 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
KR100459725B1 (ko) * 2002-09-19 2004-12-03 삼성전자주식회사 금속 게이트 패턴을 갖는 반도체소자의 제조방법
US6605535B1 (en) * 2002-09-26 2003-08-12 Promos Technologies, Inc Method of filling trenches using vapor-liquid-solid mechanism
WO2004044970A1 (ja) * 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. 基板処理装置
KR100505441B1 (ko) * 2003-04-04 2005-08-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
JP2005322859A (ja) * 2004-05-11 2005-11-17 Sony Corp 半導体装置およびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108140562A (zh) * 2015-10-22 2018-06-08 应用材料公司 共形且间隙填充非晶硅薄膜的沉积
CN108140562B (zh) * 2015-10-22 2023-03-21 应用材料公司 共形且间隙填充非晶硅薄膜的沉积
CN110291223A (zh) * 2017-02-16 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 用于形成含Si膜的方法

Also Published As

Publication number Publication date
US20060160288A1 (en) 2006-07-20
KR20070092958A (ko) 2007-09-14
WO2006078354A3 (en) 2006-12-07
JP2008527738A (ja) 2008-07-24
CN101111931B (zh) 2010-05-12
EP1842231A2 (en) 2007-10-10
EP1842231A4 (en) 2008-11-26
JP5068178B2 (ja) 2012-11-07
TW200639940A (en) 2006-11-16
KR101133349B1 (ko) 2012-04-06
US7205187B2 (en) 2007-04-17
TWI306629B (en) 2009-02-21
WO2006078354A2 (en) 2006-07-27

Similar Documents

Publication Publication Date Title
CN101111931B (zh) 使用六氯乙硅烷或其它含氯硅前驱体的微构件填充工艺和装置
CN101094732B (zh) 以六氯乙硅烷进行的含硅膜的沉积
US10020188B2 (en) Method for depositing ALD films using halide-based precursors
US20210140043A1 (en) Deposition of pure metal films
JP5219505B2 (ja) チャンバー洗浄工程間の時間を延長する方法
US7077904B2 (en) Method for atomic layer deposition (ALD) of silicon oxide film
US7509962B2 (en) Method and control system for treating a hafnium-based dielectric processing system
CN1800444B (zh) 成膜装置及其使用方法
KR20180014661A (ko) 질화막의 형성 방법 및 형성 장치
US20050056219A1 (en) Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
US20220254685A1 (en) Nucleation-free tungsten deposition
WO2006019861A1 (en) Deposition of nano-crystal silicon using a single wafer chamber
WO2005096352A2 (en) Silicon-germanium thin layer semiconductor structure with variable silicon-germanium composition and method of fabrication
JP7199286B2 (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant