JP2008511758A - 粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法 - Google Patents

粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法 Download PDF

Info

Publication number
JP2008511758A
JP2008511758A JP2007530308A JP2007530308A JP2008511758A JP 2008511758 A JP2008511758 A JP 2008511758A JP 2007530308 A JP2007530308 A JP 2007530308A JP 2007530308 A JP2007530308 A JP 2007530308A JP 2008511758 A JP2008511758 A JP 2008511758A
Authority
JP
Japan
Prior art keywords
flow rate
silicon
containing gas
carbon
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007530308A
Other languages
English (en)
Other versions
JP2008511758A5 (ja
Inventor
ディーネシュ パディ,
ソヒュン パーク,
ガネシュ バラスブラマニアン,
ジュアン カルロス ロチャ‐アルヴァレス,
リ‐クン シャ,
デレック, アール. ウィッティー,
ヒケム マサード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008511758A publication Critical patent/JP2008511758A/ja
Publication of JP2008511758A5 publication Critical patent/JP2008511758A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

下にある層上に段階的な誘電体層を形成する方法であって、シリコン-炭素含有ガスと酸素含有ガスとキャリヤガスの混合物を阻止板と面板を備えたシャワーヘッドに流して、段階的な誘電体層の酸化物を多く含む部分を形成するステップであって、シリコン-炭素含有ガスが初期流量を持つ前記ステップと、シリコン-炭素含有ガスを第1中間流量で約0.5秒以上流すステップであって、第1中間流量が初期流量より大きい前記ステップと、シリコン-炭素含有ガスを第1中間流量より大きい最大流量で流して、段階的な誘電体層の炭素を多く含む部分を形成するステップと、を含む前記方法。
【選択図】 図6

Description

発明の背景
[0001]従来の集積回路(IC)デバイスの製造にはアルミニウムのような金属層のギャップパターンをエッチングすることが含まれている。その後、ギャップは二酸化シリコンのような誘電材料で充填することができる。最近、ICデバイス製造者らは、電流に対するこれらの金属の低い抵抗を利用するために、アルミニウムから銅や他のより導電性の金属に切り変えている。銅の場合、アルミニウムより高い金属のエッチングに対する抵抗によってダマシンプロセスへの切り替えが奨励され、そこでは、誘電体層が堆積されて集積化スタックが形成され、エッチングされて続いての金属ギャップフィルのためのギャップが作成される。
[0002]ダマシン構造において金属層を分離する誘電体層は、しばしば金属間誘電体(IMD)層と呼ばれる。IMD材料の容量(C)と金属層の抵抗(R)はIC回路のRC定数の重要な要素である。RC定数が低下するにつれて、回路速度が増加し、容量が小さい(即ち、より低い誘電率“κ”)を持つIMD層は銅のような低い抵抗の金属を補完する。
[0003]IMD層は、典型的には、隣接の誘電体層への金属の拡散を防止するバリヤ層を含んでいる。バリヤ層に用いられる一材料は、窒化シリコン(Si)であり、一般にダマシン構造の形成のためのエッチストップ材料として用いられている。残念なことに、窒化シリコンは誘電率が比較的高く(SiOのκ=4.0〜4.2と比較してSiはκ=7.0〜7.5)、誘電体層の全体のκ値が増加する。
[0004]最近、バリヤ層は誘電率の低い材料から開発されてきている。窒化シリコンより誘電率が低いシリコン-炭素ベースのバリヤ層(シリコン・オキシカーバイド(SiOCH)バリヤ層)が開発されてきた。このような一層は、例えば、カリフォルニア州サンタクララのアプライドマテリアルズ社により開発されたBLOKTM(BarrierLow K)である。これらの低κバリヤ層は、例えば、トリメチルシラン(TMS)を用いたプラズマ増強型化学気相堆積によって堆積させることができる。
[0005]シリコンオキシカーバイトや他のシリコン-炭素系低κバリヤ層は、改善された誘電率を有するが、IMD層のバルク誘電体部分を作る他の低κシリコン-炭素材料に対する粘着はしばしば不十分である。二酸化シリコン(SiO)のような酸化物膜は、シリコン-炭素系低κバリヤ層に非常に良く付着するが、κ値が高くなり、IMD層の全誘電率を上げる。従って、バリヤ層と層のバルク誘電体部分の間の接着が良好な低κIMD層の形成する方法が求められている。
簡単な発明の概要
[0006]本発明の実施形態は、下にある層上に段階的な誘電体層を形成する方法を含んでいる。上記方法は、シリコン-炭素含有ガスと酸素含有ガスとキャリヤガスの混合物を阻止板と面板を備えたシャワーヘッドに流して、段階的な誘電体層の酸化物を多く含む部分を形成するステップであって、シリコン-炭素含有ガスが初期流量を持つ前記ステップを含んでいる。上記方法は、また、第1中間流量で約0.5秒以上シリコン-炭素含有ガスを流すステップであって、第1中間流量が初期流量より大きい前記ステップを含んでいる。上記方法は、また、シリコン-炭素含有ガスを第1中間流量より大きい最大流量で流して、段階的な誘電体層の炭素を多く含む部分を形成するステップを含んでいる。
[0007]本発明の他の実施形態は、下にある層上に段階的な誘電体層を形成する方法であって、シリコン-炭素含有ガスと酸素含有ガスとキャリヤガスの混合物を阻止板と面板を供えたシャワーヘッドに流して、段階的な誘電体層の酸化物を多く含む部分を形成するステップであって、シリコン-炭素含有ガスが初期流量を持つ前記ステップを含んでいる。上記方法は、また、シリコン-炭素含有ガスを最大流量に増加して、段階的な誘電体層の炭素を多く含む部分を形成するステップであって、キャリヤガスが、シリコン-炭素含有ガスが最大流量に達するまで一定であるキャリヤガス流量を持つ前記ステップを含んでいる。
[0008]発明の実施形態は、更に、下にある層上に段階的な誘電体層を形成するためのシステムを含んでいる。本システムは、阻止板と面板を含むシャワーヘッドであって、シャワーヘッドはガス供給口に結合され、それを通ってシリコン-炭素含有ガスと酸素含有ガスとキャリヤガスを含むプロセスガスがシャワーヘッドに導入される、前記シャワーヘッドを含んでいる。本システムは、また、シャワーヘッドへのシリコン-炭素含有ガスの流量を制御する液体流量メータであって、液体流量メータが、段階的な誘電体層の酸素を多く含む部分を形成している間、シリコン-炭素含有ガスを初期流量で流し、その後、シリコン-炭素含有ガス流量を初期流量から中間流量に増加させ、中間流量を約0.5秒以上維持し、その後更に、シリコン-炭素含有ガスの流量を中間流量から最大流量に増加させて、段階的な誘電体層の炭素を多く含む部分を形成するようにプログラムされている、前記液体流量メータを含んでいる。
[0009]追加の特徴は、以下の説明に部分的に示され、部分的には、以下の明細書を調べる際に当業者に明らかであり、本発明の実施によって知ることもできる。発明の特徴及び利点は、添付の特許請求の範囲で特に指摘した手段、組合わせ、方法によって認識され得られてもよい。
発明の詳細な説明
[0017]本発明の実施形態は、下にある層により良く粘着するための酸化物を多く含む部分(例えば、シリコンオキシカーバイドバリヤ層)とκ値が低い炭素を多く含む部分(例えば、バルク誘電体)を含む、機能的に段階的な低κ膜層を形成する方法を含む。上記方法は、シリコン-炭素含有ガスと酸素含有ガスの混合物を酸素とシリコンの高流量比を容易にする初期流量で流して、機能的に段階的な誘電体層の薄い(約10オングストローム〜80オングストローム厚)酸化物を多く含む部分を堆積するステップを含む。その後、機能的に段階的な誘電体層のバルク誘電部分のシリコン-炭素濃度を上げるためにシリコン-炭素含有ガスを増加させてもよい(酸素含有ガスは減少させてもよい)。酸化物を多く含む部分が相対的に小さくなることから、層の全κ値に対して小さな影響がある。
[0018]本発明で用いられたシリコン-炭素ガスは、しばしばオクタメチルシクロテトラシロキサン(“OMCTS”)[((CH)SiO)]のような有機シリコン化合物である。OMCTSのような化合物は、室温では粘性液体であり、加熱(例えば、約350℃)された場合、キャリヤガスによって堆積チャンバに運ぶことができる蒸気を生じ、そこで機能的に段階的な誘電体層が形成される。
[0019]室温におけるこれら有機シリコン化合物の粘性液体相の特性は、ガスシャワーヘッドを通って堆積チャンバへ、それらの蒸気の一様な分布を分配するための難題を生じる。蒸気の慣性効果は、シャワーヘッドの中心付近がより集中し、端に向かって集中しないことである。結果として、ブロッカープレート(バッフルとして知られる)がシャワーヘッドガス供給口と面板の間に挿入されてもよく、堆積チャンバへ面板を通過するシリコン-炭素蒸気をより一様に分配する。
[0020]しかしながら、ブロッカープレートは、プレート全体にガス混合物の圧力の低下を導入することがあり、ブロッカープレートと面板を通る通路の周りでシリコン-炭素が凝縮する結果となる。これらの通路の凝縮物と、反応チャンバ内の有機シリコンエアゾール粒子の形成によって、基板上に落ちる膜内付加物の数が増加し得る(例えば、約10000以上の付加物)。その問題は、付加物が基板上に一様に分配されないという事実によって悪化するが、代わりに、シャワーヘッド面板の中央の下の領域が集中される傾向がある。
[0021]本発明の実施形態は、シリコン-炭素含有ガスの流量の変化をモジュレートさせるステップと、シリコン-炭素含有ガスの流量の増加が生じた後まで高キャリヤガス流量を維持するステッを含む多くの技術によってシリコン-炭素蒸気と膜内付加物の凝縮を減少させる。単独で又は組合わせて用いられるこれら技術等は、膜内付加物の数を数万から約10以下の程度に減少させることができる。技術の実施形態をより詳細に記載する前に、機能的に段階的な誘電体層を含む幾つかの簡易IC構造の記載を提示する。
例示的な集積回路構造
[0022]図1Aは、バリヤ層と機能的に段階的な誘電体層が本発明の実施形態に従って形成された層の一実施例を示す図である。層は、導電材料(例えば、銅)で充填したトレンチ106を含有する誘電体層104を含む。誘電体層104とトレンチ106は、下にある支持層102上に形成されてもよい。支持層102は、他の種類の層の中でも、シリコン基板、金属膜層(例えば、銅又はアルミニウム層)、ソース、ドレイン又はゲート電極の最上部に形成されるサリサイド層、又は誘電体層(例えば、IMD層)であってもよい。
[0023]図1Bを参照すると、図1Aの下にある層上に形成されたバリヤ層108と機能的に段階的な誘電体層110が示されている。バリヤ層108は、従来の酸化物と窒化物系バリヤ層(例えば、窒化シリコン)よりκ値が低いシリコン-炭素系材料(例えば、シリコンオキシカーバイド)から形成されてもよい。シリコン-炭素系材料から形成されたバリヤ層108のκ値は、層における炭素とシリコンの元素の比に左右される。
[0024]炭素とシリコンの原子比(C:Si比)が約55:45〜約65:35のバリヤ層108は、通常は低κ特性を有する層を与える。層におけるC:Si比が低すぎる場合には、誘電率は大きくなりすぎることがある(例えば、C:Si比が約50:50の場合κ=7.0)。更に、層におけるC:Si比が減少するにつれて、層の電流漏れが増加する傾向がある。層におけるC:Si原子比は、層を形成するために用いられるガス混合物のC:Si原子比に左右される。
[0025]一般的には、ガス混合物におけるC:Si比は1:1より大きい(例えば、約3:1〜約8:1)。ガス混合物において一定のC:Si比の場合、基板温度が高くなるほど、堆積した層におけるC:Si比が小さくなる。高い基板温度でバリヤ層108を堆積した場合、ガス混合物において相対的に高いC:Si比が望ましくてもよい。機能的に段階的な誘電体層が本発明の方法に従って形成することができる例示的なバリヤ層の堆積の詳細は、“BARRIERLAYER DEPOSITIONUSING HDP-CVD”と称する2002年7月12日出願の共同譲渡された米国特許第6,713,390号に記載され、この開示内容は本明細書に援用されている。
[0026]機能的に段階的な誘電体(“FGD”)層110は、後述された本発明の方法の実施形態に従って、下にあるバリヤ層108上に形成されてもよい。FGD層110は、下にあるバリヤ層108と接触している酸化物を多く含む部分と、バリヤ層108から更に離れた炭素を多く含む部分(即ち、バルク誘電部分)を含む。層の酸化物を多く含む部分は、シリコン(Si)、酸素(O)、炭素(C)を含んでもよく、シリコンと酸素の比は、平均より低く、層に存在する酸素の平均濃度より高い濃度を反映している。FGD層の酸化物を多く含む部分は、約10オングストローム〜100オングストローム厚(例えば、約70オングストローム〜約80オングストローム厚)であってもよい。
[0027]FGD層110の炭素を多く含むバルク誘電部分は、バリヤ層108とほぼ同様のC:Si比(例えば、約55:45〜約65:35)を有してもよい。バルク誘電部分は、約5000オングストローム〜約10000オングストローム厚さであってもよく、全κ値が約3.5以下(例えば、約3.3〜約3.0)のFGD層を与える。
[0028]図2は、本発明の実施形態に従って形成された機能的に段階的な金属間誘電体(FGIMD)層240、242、244を含む集積回路200を示す簡易断面図である。集積回路200は、NMOSとPMOSのトランジスタ203、206を含み、シリコン(LOCOS)、又は他の技術によって形成された酸化物領域220により相互に分離され電気的に絶縁されている。或いは、トランジスタ203と206が双方のNMOS又は双方のPMOSである場合、トランジスタ203と206は浅いトレンチ絶縁(STI)技術(図示せず)によって相互に分離され電気的に絶縁されてもよい。各トランジスタ203と206は、ソース領域212、ドレイン領域215、ゲート領域218を備えている。
[0029]プレメタル誘電体(PMD)層221は、金属層240とコンタクト224により作られたトランジスタとの間に接続した金属層240からトランジスタ203と206を分離する。金属層240は、集積回路200に含まれる4つの金属層240、242、244、246の1つである。各金属層240、242、244、246は、それぞれのバリヤ層とFGIMD層227、228、又は229による隣接の金属層から分離されている。隣接の金属層は、バイア226によって選ばれた開口で接続される。堆積した平坦化パッシベーション層230が金属層246上に堆積される。
[0030]簡易集積回路200が、説明のためであることは理解されなければならない。当業者は、マイクロプロセッサ、特定用途向け集積回路(ASIC)、メモリデバイス等の他の集積回路の製造に関して本発明の使用を実施することができる。更に、本発明は、他のデバイスの中でもPMOS、NMOS、CMOS、バイポーラ、又はBiCMOSに適用することができる。
段階的誘電体を形成する例示的方法
[0031]図3を参照すると、本発明の実施形態に従って段階的誘電体層の形成方法のステップを示すフローチャートが示されている。上記方法は、ガス混合物を初期流量302でガスシャワーヘッドに流して、下にある層(例えば、低κバリヤ層)上に段階的誘電体層304の酸化物を多く含む部分を堆積させることにより開始してもよい。ガス混合物は、シリコン-炭素含有ガス(例えば、OMCS)と、酸素含有ガス(例えば、O)と、キャリヤガス(例えば、He)とを含んでもよい。
[0032]シリコン含有ガスは室温で粘性液体であってもよく、そのガス蒸気の流量は毎分ミリグラム(mgm)で測定されてもよい。シリコン-炭素含有ガスがOMCTSの場合、その初期流量は、段階的誘電体層の酸化物を多く含む部分を堆積している間、例えば、4800sccmの流量で流れるHeキャリヤガスと約500sccmで流れるOの500mgmであってもよい。
[0033]段階的誘電体層の酸化物を多く含む部分が形成される場合、ガス混合物成分の相対量は、炭素とシリコン比が高い(即ち、段階的な誘電体層の炭素を多く含む部分)誘電体層部分を形成するために変化する。これらの変化は、炭素-シリコンガスの流れを第1中間流量へ増加させるステップ(例えば、OMCTSの流量を約500mgmから1000mgmに増加させるステップ)と、その流量を約0.5秒以上(例えば、0.5秒から2.5秒間)維持するステップを含んでもよい。
[0034]OMCTSのような粘性シリコン-炭素前駆物質の流量の増加を1以上の中間ステップに分けると、シャワーヘッドの通過で凝縮し及び/又は下にある層上に堆積するエアゾール小滴を形成する前駆物質の機会が減少する。図3に示される実施形態においては、シリコン-炭素含有ガスは、最後の最大流量に増加する前に、0.5秒以上、第2中間流量308(例えば、約1000mgmから約1750mgmに)に増加させる。他の実施形態は、最大流量に達する前に、追加の中間流量(図示せず)の期間にシリコン-炭素ガス流量を停止する。
[0035]炭素-シリコンガスの流量はモジュレート方法で増加させるが、酸素含有ガス及び/又はキャリヤガスの流量は連続して減少させてもよい。例えば、OMCTS流量が約500mgmから1000mgmに増加するにつれて、Oの流量は約500sccmから160sccmに下がってもよく、Heガス流量は約4800sccmから1000sccmに下がってもよい。シリコン-炭素ガス以外の1つ以上のガスの流量は、初期流量から最終流量まであらゆる中間ステップでも連続して減少してもよい。例えば、酸化物を多く含む層を堆積した後、これらのガスの流量は一定の連続した流量で(中間流量のプラトーを含まない)堆積の残りが最終流量に達するまで低下する。
[0036]シリコン-炭素含有ガスが、中間流量306と308を通って移動した後、最後に最大流量310に増加する。例えば、OMCTS流量は第2中間流量(例えば、約1750mgm)から最大流量(例えば、約2500mgm)に増加してもよく、最大流量は、段階的な誘電体層312の炭素を多く含む部分を堆積している間、約45秒以上維持されてもよい。
[0037]図4は、本発明の方法に従って段階的な誘電体層を形成するための他の実施形態を示すフローチャートである。これらの実施形態においては、シリコン-炭素含有ガスの流量は、中間のステップで止まらずに初期流量から最後の最大流量まで連続して増加する。しかしながら、シリコン-炭素ガスの凝縮及び/又はエアゾール化は、シリコン-炭素含有ガスが最大流量に近づいた(又は達した)後まで、キャリヤガスの流量を高初期流量に維持することにより回避される。
[0038]上記実施形態と同様に、上記方法は、ガス混合物を初期流量402でガスシャワーヘッドに流して、下にある層(例えば、低κバリヤ層)上に段階的な誘電体層404の酸素を多く含む部分を堆積させることにより開始してもよい。ガス混合物は、シリコン炭素含有ガス(例えば、OMCTS)と、酸素含有ガス(例えば、O)と、キャリヤガス(例えば、He)とを含んでもよい。
[0039]酸化物を多く含む層が形成された後、シリコン-炭素含有ガスはステップ406において初期流量(例えば、約500mgm)から最大流量(例えば、約2500mgm)まで中間流量で止まらずに増加する。シリコン-炭素ガス流量の増加は、例えば、約1350mgm/secであってもよく、流量は、約1.5秒間で初期流量から最終流量まで連続して増加する。
[0040]一旦シリコン-炭素含有ガスが、最大流量に近づく(例えば、最大流量の約500mgm以内)か又は達すると、キャリヤガスの流量は、段階的な誘電体層410の炭素を多く含む部分を堆積するための最終流量408に減少されてもよい。例えば、ヘリウム(He)を含むキャリヤガスは約4800sccmsの初期He流量を維持してもよく、OMCTS流量は約500mgmから2500mgmに増加する。OMCTS流量が最大流量に近づく(例えば、約2000mgm)か又は達した(例えば、約2500mgm)ときに、He流量は初期キャリヤガス流量から最終流量(例えば、約1000sccm)に低下する。
[0041]ある実施形態においては、酸素含有ガスは低下してもよく、シリコン-炭素含有ガスは最大流量に増加する。他の実施形態においては、シリコン-炭素含有ガスが最大流量に近づくか又は達するまで、酸素含有ガスはキャリヤガスと共に初期流量を維持する。例えば、Oの流量は約500sccmから160sccmに減少してもよく、OMCTSの流量は約500mgmから2500mgmに増加する。
[0042]図5は、従来方法(破線)と本発明の実施形態に従った方法(実線)を用いた誘電体層の堆積の経過でシリコン含有ガスの流量をプロットした図である。従来の方法は、シリコン-炭素含有ガスをできるだけ短時間(例えば、約0.2秒)で500mgmの初期流量から2500mgmの最大流量に増加する。シリコン-炭素含有ガスは増加し、キャリヤガス(図示せず)は急速に減少し、下にある基板(例えば、バリヤ層)上に多く(例えば、約1000以上)の膜内付加物を形成する確率が高い。
[0043]対照的に、本発明(実線)に従ったシリコン-炭素含有ガスの流量の増加のプロットは、2つの中間流量プラトーを経るガスを示し、各プラトーは約2秒間続いている。上で指摘したように、中間のステップは、シャワーヘッドの経過で前駆物質を凝縮し、及び/又は下にある層上に堆積するエアゾールの小滴を形成する機会を減少させる。
例示的なシャワーヘッド&堆積システム
[0044]図6は、本発明の実施形態において用いることができるシャワーヘッド600の構造を示す断面図である。複数の通し穴604を持つブロッカープレート606は、シャワーヘッド600の面板606とガス供給口の接続部分608の間に配置されている。ブロッカープレート602において、ガス供給口608から分配されるガスは、ブロッカープレート602の上流側のバッフルスペース610に一時的に貯えられる。
[0045]このように、ガス供給口608から分配されるガスの動的圧力の不規則性が減少する。従って、通し穴604に流れるガスの流量はほとんど一定である。結果として生じるガスは、ブロッカープレート602の下流側のシャワープレチャンバ612に供給される。
[0046]このように、シャワープレチャンバ612における不規則な圧力が減少する。従って、面板通し穴614を通って面板606から噴霧されるガスの流量は一定である。ガスは下にある基板(図示せず)の表面全体に一様に供給される。
[0047]通し穴604に流れるガスの流量を等しくさせるために、通し穴604のフロー圧低下を上げるように通し穴604の穴径を小さくすることが有効である。通し穴604の穴径が小さくなった場合、バッフルスペース610の圧力が上がり、それによりガスの動的な圧力のために圧力の不規則性が減少する。更に、ブロッカープレートの上部分の圧力と下部分の圧力(即ち、バッフルスペース610の圧力とシャワープレチャンバの圧力)の間の差が大きくなる。従って、ブロッカープレート602の各位置の圧力はほとんど等しい。その結果、通し穴604から流れるガスの流量はほとんど同じになる。
[0048]上で指摘したように、OMCTSのような粘性前駆物質の流量の急速な増加によって、通し穴604及び/又は面板の通し穴614のガス蒸気が凝縮することがあり、下にある基板上に膜内付加物を生じ得る。更に、シャワーヘッド600を出るにつれて凝縮し始める蒸気は、基板上に落ちるエアゾール粒子を形成する核形成位置として働いてもよく、膜内付加物の他の供給源を生成する。
[0049]図7は、本発明の方法及びシステムの実施形態と共に用いることができる平行板プラズマ増強型化学気相堆積(PECVD)システム10を示す実施形態である。システム10は、1つ以上の層が基板(図示せず)上に堆積することができる真空チャンバ15を含む。システム10は、シャワーヘッドの面板において貫通した穴を通ってプロセスガスをサセプタ12上に位置する基板(例えば、200mmウエハ、300mmウエハ等)に分散させるためのガス分配シャワーヘッド11を含有する。サセプタ12は、熱で反応し、サセプタ12(と基板)が制御可能に下の装填位置/離れた装填位置と上の処理位置との間を移動することができるように、シャワーヘッド11近くにある支持体13に取り付けられている。センターボード(図示せず)は、基板の位置についての情報を与えるセンサを含む。
[0050]サセプタ12と基板が処理位置14にある場合、環状真空マニホールド24へ排出する複数の隔置された穴23を持つバッフルプレート17によって囲まれている。堆積ガスとキャリヤガスは、合わせてからシャワーヘッド11に送られる混合システム19へ供給ラインを通って供給される。プロセスガスの各々の供給ライン18は、(i)チャンバへのプロセスガスの流れを自動で又は手動で遮断するために使用し得る安全遮断バルブ(図示せず)と、(ii)供給ラインを通るガス又は液体の流れを測定するマスフローコントローラ20を含んでもよい。プロセスにおいて毒性ガスが用いられる場合、幾つかの安全遮断バルブが従来の配置で各ガス供給ライン上に位置している。
[0051]堆積ガスとキャリヤガスの流量は、液体又はガスマスフローコントローラ20又はバルブによって制御されるガス混合システム19に供給される。プロセス中、シャワーヘッドに供給されるガスは、矢印21で示される層流のウエハの表面に向かって放出され表面全体に放射状に一様に分配される。その後、排出システムは、真空ポンプシステム(図示せず)によってポート23を経て円形の真空マニホールド24へ排出ライン31からガスを排出する。ガスが排出ライン31を通って放出される流量はスロットルバルブ32により制御される。
[0052]システム10においてプラズマ増強型プロセスを行う場合、制御されたプラズマは、RF電源25からシャワーヘッドに加えられたRFエネルギーによって基板に隣接して生成させることができる。シャワーヘッド11はRF電極として働いてもよく、サセプタ12は接地されている。RF電源25は、単一又は混合周波数RF電力(又は他の所望される変化)をシャワーヘッド11へ供給してもよく、チャンバ15へ導入された反応性化学種の分解を高める。混合周波数RF電力は、高周波数RF発生器40(RF1)と対応する整合回路42と、低周波数RF発生器44(RF2)と対応する整合回路46により生成させる。高周波フィルタ48は高周波発生器40によって生じる電圧が低周波発生器を損傷することを防止する。
[0053]熱は、外部ランプモジュール26により分配される。外部ランプヒータモジュール26は、サセプタ12の環状外周部分に石英窓28を通る光線27の照射環状パターンを与える。このような熱分配は、サセプタ12の自然熱損失パターンを補償し、堆積を行うために加熱するサセプタと基板が急速な熱で一様になる。
[0054]チャンバライニング、シャワーヘッド面板、支持体13、他のハードウエアシステムは、アルミニウム又は陽極酸化アルミニウムのような材料から作られてもよい。このような装置の一実施例は、“二酸化シリコンの熱化学気相堆積のための熱CVD/PECVDリアクタと使用及びインサイチュマルチステップ平坦化プロセス”と称する本発明の譲受人のアプライドマテリアルズ社に譲渡されたWangらに発行された米国特許第5,000,113号に記載され、この全体の開示内容は本明細書に援用されている。
[0055]モータ(図示せず)は、サセプタ12を処理位置14と下の基板装填位置の間に上下させる。モータと任意のセンサは、スロットバルブ32とサセプタ12のような移動可能な機械的アセンブリの位置を移動させ決定するために用いられる。供給ライン18、ガス分配システム、スロットバルブ32、RF電源25、ランプマグネットドライバに接続されるヒータ、モータ、バルブ、フローコントローラ20は、制御ライン36の上のシステムコントローラ34によって全て制御され、その一部を図7に示す。
[0056]システムコントローラ34は装置の動作を制御する。システムコントローラは、メモリ38のようなコンピュータ読取可能な媒体に格納されたコンピュータプログラムであるシステムコントロールソフトウエアを実行する。好ましくは、メモリ38は、ハードディスクドライブであってもよく、メモリ38は他の種類のメモリであってもよい。コンピュータプログラムは、例えば、タイミング、ガスの混合物、チャンバ圧、チャンバ温度、RF電源レベル、サセプタ位置、プロセスの他のパラメータを命令する指示セットを含んでいる。他のコンピュータプログラム(例えば、フロッピーディスクのような他のメモリデバイスに格納されたもの又は他のプログラム記憶媒体)もまた、プロセッサ34を作動させるために用いられてもよい。
[0057]システムコントローラは、他の要素の中でもハードディスクドライブ(メモリ38)、フロッピーディスクドライブ、カードラックを含んでもよい。カードラックは、シングルボードコンピュータ(SBC)プロセッサ37、アナログとデジタルのインプット/アウトプットボード、インタフェースボードとステッパモータコントローラボードを含有する。システム10の様々なパーツは、ボード、カードケージ、コネクタ寸法やタイプを画成するVersaModular European(VME)規格にあてはめてもよい。VME規格は、また、16ビットデータバスと24ビットアドレスバスを持つバス構造を画成している。
実験例
[0058]実験例は、段階的な誘電体層を形成するための本発明の方法が下にあるバリヤ層上に堆積した膜内付加物の数を減少させるということを示した。従来の堆積技術を用いた誘電体層を形成する比較例のためのプロセス条件を表1-Aに示す。
Figure 2008511758
[0059]従来の上記プロセスパラメータを用いてプラズマCVDリアクタ内で300mmのウエハ上にバルク誘電体層を形成した。プロセスガスを分散させるために用いられるシャワーヘッドには、面板からガス供給口を分割する496ホールブロッカープレートが含まれた。用いられる面板は、標準的なREV3面板であった。堆積の結果を以下の表1-Bに記載する。
Figure 2008511758
[0060]表1-Bが示すように、双方の比較ウエハについて測定し、大きさが0.16μmを超える膜内付加物は10,000を超えた。大多数の付加物は、ウエハの中心で、また、中心付近で(例えば、ウエハの中心から100mm以内)に集中し、ウエハの周辺近くでは付加物は計数されなかった。
[0061]その後、実験処理を、本発明の実施形態に従って1ステップの中間OMCTS流量で段階的な誘電体層を形成するために行った。表2-Aは、堆積している間に用いられたプロセス条件の一部を示すものである。
Figure 2008511758
[0062]上の比較例と同様に、300mmのウエハ上にプラズマCVDリアクタ内で表2-Aに記載されるプロセスパラメータを用いてバルク誘電体層を形成した。同様のシャワーヘッドを用いた。堆積結果は表2-Bに記載される。
Figure 2008511758
[0063]表2-Bが示すように、段階的な誘電体層が本発明の実施形態に従って形成されたウエハは、大きさが0.16μmを超える膜内付加物をかろうじて検知可能な数(即ち、10未満の付加物)を有した。同様に、OMCTS要素のための初期流量と最終容量の間の3つの中間流量を含む方法について少数の付加物(9や16の付加物)を計数した。
[0064]数種の実施形態を記載してきたが、様々な修正、代替的構成、等価物が本発明の精神から逸脱することなく用いられてもよいことは当業者によって認識される。更に、多くの周知のプロセスや要素は、本発明を不必要に不明瞭することを避けるために記載されていない。従って、上の説明は本発明の範囲を限定するものとして用いられるべきではない。
[0065]また、本明細書及び以下の特許請求の範囲に用いられる用語の“備える”“備えている”、1つ又は複数を“含む”、“含んでいる”は、述べた特徴、完全体、要素、又はステップの存在を指定するものであるが、1つ以上の他の特徴、完全体、要素、ステップ又はグループの存在又は追加を除外しない。
図1Aは、本発明の方法の実施形態に従って金属充填トレンチ上に形成されたバリヤ層と機能的に段階的な誘電体層を示す図である。 図1Bは、本発明の方法の実施形態に従って金属充填トレンチ上に形成されたバリヤ層と機能的に段階的な誘電体層を示す図である。 図2は、本発明の方法の実施形態に従って形成された機能的に段階的な誘電体層を含む集積回路デバイスを示す簡易断面図である。 図3は、本発明の方法の実施形態に従って段階的な誘電体層を形成するためのステップを示すフローチャートである。 図4は、本発明の方法の追加の実施形態に従って段階的な誘電体層を形成するためのステップを示すフローチャートである。 図5は、従来の誘電体層堆積と本発明の方法の実施形態による堆積の時間の関数としてのシリコン-炭素含有ガスの流量をプロットした図である。 図6は、本発明の実施形態で用いることができるシャワーヘッドを示す断面図である。 図7は、本発明のシステム及び方法の実施形態において用いられる例示的なプラズマCVD堆積チャンバを示す簡易断面図である。
符号の説明
10…システム、11…シャワーヘッド、12…サセプタ、13…支持体、14…処理位置、17…バッフルプレート、18…供給ライン、19…混合システム、20…マスフローコントローラ、23…穴、24…マニホールド、25…RF電源、26…外部ランプモジュール、27…光線、31…排出ライン、32…スロットルバルブ、34…システムコントローラ、36…制御ライン、37…プロセッサ、38…メモリ、40…高周波数RF発生器、44…低周波数RF発生器、46…整合回路、48…高周波数フィルタ、102…支持層、104…誘電体層、108…バリヤ層、110…機能的に段階的な誘電体層、200…集積回路、203…トランジスタ、206…トランジスタ、220…酸化物領域、212…ソース領域、215…ドレイン領域、218…ゲート領域、221…プレメタル誘電体層、227…FGIMD層、228…FGIMD層、229…FGIMD層、230…パッシベーション層、240…金属層、242…金属層、244…金属層、246…金属層、600…シャワーヘッド、602…ブロッカープレート、604…通し穴、606…面板、608…ガス供給口、610…バッフルスペース、612…シャワープレチャンバ、614…通し穴。

Claims (23)

  1. 下にある層上に段階的な誘電体層を形成する方法であって、
    シリコン-炭素含有ガスと酸素含有ガスとキャリヤガスの混合物を阻止板と面板を備えたシャワーヘッドに流して、該段階的な誘電体層の酸化物を多く含む部分を形成するステップであって、該シリコン-炭素含有ガスが初期流量を持つ前記ステップと、
    該シリコン-炭素含有ガスを第1中間流量で約0.5秒以上流すステップであって、該第1中間流量が該初期流量より大きい前記ステップと、
    該シリコン-炭素含有ガスを該第1中間流量より大きい最大流量で流して、該段階的な誘電体層の炭素を多く含む部分を形成するステップと、
    を含む前記方法。
  2. 該シリコン-炭素含有ガスが室温で液体である、請求項1記載の方法。
  3. 該シリコン-炭素含有ガスがオクタメチルシクロテトラシロキサン(OMCTS)である、請求項1記載の方法。
  4. 該酸素含有ガスが酸素(O)を含む、請求項1記載の方法。
  5. 該キャリヤガスがヘリウム(He)を含む、請求項1記載の方法。
  6. 該下にある層が炭素含有拡散バリヤ層である、請求項1記載の方法。
  7. 該炭素含有拡散バリヤ層がシリコンオキシカーバイド(SiOCH)を含む、請求項6記載の方法。
  8. 該段階的な誘電体層の該酸化物を多く含む部分の厚さが約70オングストローム〜約80オングストロームである、請求項1記載の方法。
  9. 該シリコン-炭素含有ガスが該初期流量から該第1中間流量に約600ミリグラム/秒以下で増加させる、請求項1記載の方法。
  10. 該方法が、該シリコン-炭素含有ガスを第2中間流量で約0.5秒以上流すステップであって、該第2中間流量が該第1中間流量より大きい前記ステップを含む、請求項1記載の方法。
  11. 該シリコン-炭素含有ガスが該初期流量から該第1中間流量に向かう場合に、該酸素含有ガスの流量が減少する、請求項1記載の方法。
  12. 該シリコン-炭素含有ガスが該初期流量から該第1中間流量に向かう場合に、該キャリヤガスの流量が減少する、請求項1記載の方法。
  13. 下にある層上に段階的な誘電体層を形成する方法であって、
    シリコン-炭素含有ガスと酸素含有ガスとキャリヤガスの混合物を阻止板と面板を備えたシャワーヘッドに流して、該段階的な誘電体層の酸化物を多く含む部分を形成するステップであって、該シリコン-炭素含有ガスが初期流量を持つ前記ステップと、
    該シリコン-炭素含有ガスを最大流量に増加させて、該段階的な誘電体層の炭素を多く含む部分を形成するステップであって、該シリコン-炭素含有ガスが該最大流量に達した後まで一定のままであるキャリヤガス流量を該キャリヤガスが有する前記ステップと、
    を含む前記方法。
  14. 該シリコン-炭素含有ガスがオクタメチルシクロテトラシロキサン(OMCTS)である、請求項13記載の方法。
  15. 該キャリヤガスがヘリウム(He)を含む、請求項13記載の方法。
  16. 該酸素含有ガスが酸素(O)を含む、請求項13記載の方法。
  17. 該シリコン-炭素含有ガスが該最大流量に達した後に該キャリヤガス流量が約50%以上だけ減少する、請求項13記載の方法。
  18. 該シリコン-炭素含有ガスの該初期流量が約500mgmであり、該最大流量が約3000mgmである、請求項13記載の方法。
  19. 該シリコン-炭素含有ガスが該最大流量に達した後に該キャリヤガス流量が約5000sccmから約1000sccmに減少する、請求項18記載の方法。
  20. 下にある層上に段階的な誘電体層を形成するシステムであって、
    阻止板と面板を備えたシャワーヘッドであって、該シャワーヘッドがガス供給口に結合され、シリコン-炭素含有ガスと酸素含有ガスとキャリヤヘッドを含むプロセスガスが該シャワーヘッドに導入される前記シャワーヘッドと、
    該シリコン-炭素含有ガスの該シャワーヘッドへの流量を制御する液体流量メータであって、該液体流量メータが、該段階的な誘電体層の酸素を多く含む部分を形成する間、該シリコン-炭素含有ガスを初期流量で流し、その後、該シリコン-炭素含有ガス流量を該初期流量から中間流量に増加させ、該中間流量を約0.5秒以上維持し、その後更に、該シリコン-炭素含有ガス流量を該中間流量から最大流量に増加させて、該段階的な誘電体層の炭素を多く含む部分を形成するようにプログラムされている、前記液体流量メータと、
    を備えた前記システム。
  21. 該シリコン-炭素含有ガスがオクタメチルシクロテトラシロキサン(OMCTS)である、請求項20記載のシステム。
  22. 該酸素含有ガスが酸素(O)を含み、該キャリヤガスがヘリウム(He)を含む、請求項20記載のシステム。
  23. 該システムが、該シャワーヘッドに存在する該プロセスガスからプラズマを形成するように構成されたプラズマ生成システムを備えている、請求項20記載のシステム。
JP2007530308A 2004-09-01 2005-08-29 粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法 Pending JP2008511758A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/931,609 US7166544B2 (en) 2004-09-01 2004-09-01 Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
PCT/US2005/030956 WO2006028844A1 (en) 2004-09-01 2005-08-29 Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors

Publications (2)

Publication Number Publication Date
JP2008511758A true JP2008511758A (ja) 2008-04-17
JP2008511758A5 JP2008511758A5 (ja) 2011-08-04

Family

ID=35539559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007530308A Pending JP2008511758A (ja) 2004-09-01 2005-08-29 粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法

Country Status (7)

Country Link
US (2) US7166544B2 (ja)
EP (1) EP1794784A1 (ja)
JP (1) JP2008511758A (ja)
KR (1) KR20070054201A (ja)
CN (1) CN100477115C (ja)
TW (1) TWI317543B (ja)
WO (1) WO2006028844A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010118513A (ja) * 2008-11-13 2010-05-27 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
JP2011528508A (ja) * 2008-07-15 2011-11-17 アプライド マテリアルズ インコーポレイテッド 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法
JP2013520792A (ja) * 2010-02-23 2013-06-06 アプライド マテリアルズ インコーポレイテッド 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007518867A (ja) * 2004-01-20 2007-07-12 バウンドレス・コーポレーシヨン 高度に微孔質なポリマー及びそれらの製法及び使用法
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7804172B2 (en) * 2006-01-10 2010-09-28 Halliburton Energy Services, Inc. Electrical connections made with dissimilar metals
WO2007147167A2 (en) * 2006-06-16 2007-12-21 Porous Power Technologies, Llc Optimized microporous structure of electrochemical cells
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US7750470B2 (en) * 2007-02-08 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
JP4470970B2 (ja) * 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
WO2009103082A2 (en) * 2008-02-17 2009-08-20 Porous Power Technologies, Llc Lamination configurations for battery applications using pvdf highly porous film
US20090223155A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Building Construction Applications for Porous Material
US20090222995A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Bedding Applications for Porous Material
US20090226683A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Porous Material Uses in Furniture
US20090227163A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Protective Apparel with Porous Material Layer
US7892937B2 (en) * 2008-10-16 2011-02-22 Micron Technology, Inc. Methods of forming capacitors
US20100178567A1 (en) * 2008-12-24 2010-07-15 Porous Power Technologies, Llc Mat Forming Spacers in Microporous Membrane Matrix
JP2012527738A (ja) * 2009-05-20 2012-11-08 ポーラス パワー テクノロジーズ,エルエルシー 微多孔膜の処理と接着剤
CN101996878B (zh) * 2009-08-11 2012-09-26 中芯国际集成电路制造(上海)有限公司 沉积低介电常数绝缘材料层的方法
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN105336586B (zh) * 2014-06-30 2018-01-23 中芯国际集成电路制造(上海)有限公司 一种氧含量递增的硬掩模
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10553479B2 (en) 2017-02-16 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact pad and fabrication method therefore
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198366A (ja) * 2000-10-05 2002-07-12 Applied Materials Inc 化学気相成長法によって堆積されるsioc層のk値を減少させる方法
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
JP2004172590A (ja) * 2002-10-30 2004-06-17 Fujitsu Ltd シリコンオキシカーバイド、シリコンオキシカーバイド層の成長方法、半導体装置、および半導体装置の製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5531183A (en) * 1994-07-13 1996-07-02 Applied Materials, Inc. Vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6961583B2 (en) * 1999-05-24 2005-11-01 Samsung Electronics Co., Ltd. Wireless network system selection mechanism within a mobile station
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
KR100406176B1 (ko) 2000-06-19 2003-11-19 주식회사 하이닉스반도체 샤워헤드 및 이를 이용한 액체 원료 공급 장치
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6498112B1 (en) * 2001-07-13 2002-12-24 Advanced Micro Devices, Inc. Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198366A (ja) * 2000-10-05 2002-07-12 Applied Materials Inc 化学気相成長法によって堆積されるsioc層のk値を減少させる方法
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
JP2004172590A (ja) * 2002-10-30 2004-06-17 Fujitsu Ltd シリコンオキシカーバイド、シリコンオキシカーバイド層の成長方法、半導体装置、および半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011528508A (ja) * 2008-07-15 2011-11-17 アプライド マテリアルズ インコーポレイテッド 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法
JP2010118513A (ja) * 2008-11-13 2010-05-27 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
JP2013520792A (ja) * 2010-02-23 2013-06-06 アプライド マテリアルズ インコーポレイテッド 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法

Also Published As

Publication number Publication date
TW200620534A (en) 2006-06-16
TWI317543B (en) 2009-11-21
US20070079753A1 (en) 2007-04-12
KR20070054201A (ko) 2007-05-28
US7166544B2 (en) 2007-01-23
EP1794784A1 (en) 2007-06-13
CN101065835A (zh) 2007-10-31
CN100477115C (zh) 2009-04-08
WO2006028844A1 (en) 2006-03-16
US7802538B2 (en) 2010-09-28
US20060046520A1 (en) 2006-03-02

Similar Documents

Publication Publication Date Title
JP2008511758A (ja) 粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP4990299B2 (ja) Hdp−cvdpsg膜の形成方法および装置
JP4558206B2 (ja) Cvdナノ多孔性シリカの低誘電率膜
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US7825038B2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7259111B2 (en) Interface engineering to improve adhesion between low k stacks
US7981777B1 (en) Methods of depositing stable and hermetic ashable hardmask films
US6149974A (en) Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US20130217241A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US6803325B2 (en) Apparatus for improving barrier layer adhesion to HDP-FSG thin films
JPH10189569A (ja) 低誘電率の多層膜を堆積するための方法及び装置
JP2008227511A (ja) 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
WO1999016930A1 (en) Method and apparatus for deposition of carbon
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
JP4364438B2 (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
JP4044637B2 (ja) プラズマ励起cvd膜の界面品質改良のための方法
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US20020133258A1 (en) Nitrogen doping of FSG layer
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
JP2023541932A (ja) 低κ誘電体膜を堆積するためのシステム及び方法
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits
JPH0817917A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080724

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110617

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20110617

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20110623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120124