JP2011528508A - 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法 - Google Patents

障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法 Download PDF

Info

Publication number
JP2011528508A
JP2011528508A JP2011518779A JP2011518779A JP2011528508A JP 2011528508 A JP2011528508 A JP 2011528508A JP 2011518779 A JP2011518779 A JP 2011518779A JP 2011518779 A JP2011518779 A JP 2011518779A JP 2011528508 A JP2011528508 A JP 2011528508A
Authority
JP
Japan
Prior art keywords
film
gas mixture
carbon
flow rate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011518779A
Other languages
English (en)
Other versions
JP2011528508A5 (ja
Inventor
ケルヴィン チャン,
カン, サブ イム,
アレクサンドロス, ティー. デモス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011528508A publication Critical patent/JP2011528508A/ja
Publication of JP2011528508A5 publication Critical patent/JP2011528508A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

基板を処理するための方法が提供され、ここで第1の有機シリコン前駆体、第2の有機シリコン前駆体、ポロゲン、および酸素源が、処理チャンバーに提供される。第1の有機シリコン前駆体は、一般に低い炭素含有量を有する化合物を含む。第2の有機シリコン前駆体は、より高い炭素含有量を有する化合物を含む。ポロゲンは、炭化水素化合物を含む。RF電力は、基板上に膜を堆積させるために印加され、さまざまな反応物の流れの流量は、膜の部分が堆積されるにつれて炭素含有量を変化させるために調節される。一実施形態では、堆積膜の最初の部分は、低い炭素含有量を有し、従って酸化物のようであり、一方次に続く部分は、より高い炭素含有量を有し、オキシ炭化物のようになる。他の実施形態は、酸化物のような最初の部分を特徴としない。膜を後処理するステップは、より高い炭素含有量を有する膜の部分に細孔を発生させる。

Description

本発明の実施形態は一般に、集積回路の製造に関する。より詳細には、本発明の実施形態は、集積回路のための低誘電率膜を堆積させるためのプロセスに関する。
集積回路形状は、そのようなデバイスが数十年前に初めて導入されて以来劇的に寸法が減少してきた。それ以来、集積回路は一般に、2年/半分の寸法の規則(しばしばMooreの法則と呼ばれる)に従っており、それは、チップ上のデバイス数が2年ごとに二倍になることを意味する。今日の製造設備は、90nmおよび65nmさえもの特徴寸法を有するデバイスを日常的に生産しており、将来の設備は近々、もっと小さい特徴寸法を有するデバイスを生産していることになる。
隣接する金属配線間の容量結合は、集積回路のデバイスの寸法をさらに低減するために低減されなければならないので、デバイス形状の継続する低減は、より低い誘電率(k)値を有する膜に対する需要を生み出した。特に、約4.0未満の低誘電率を有する絶縁体が、望ましい。低誘電率を有する絶縁体の例は、スピンオンガラス、フッ素ドープシリコンガラス(FSG)、炭素ドープ酸化物、およびポリテトラフルオロエチレン(PTFE)を包含し、それらはすべて市販されている。
つい最近では、約3.0未満および約2.5未満さえものk値を有する低誘電率有機シリコン膜が開発された。低誘電率有機シリコン膜を開発するために使用された1つの方法は、有機シリコン化合物と熱的に不安定な種または揮発性基を含む化合物とを含むガス混合物から膜を堆積させ、次いで堆積膜から熱的に不安定な種または揮発性基、例えば有機基などを除去するために堆積膜を後処理することであった。堆積膜からの熱的に不安定な種または揮発性基の除去は、膜中にナノメートルの大きさの空洞を生成し、これにより空気が近似的に1の誘電率を有するので、膜の誘電率が下がる。
望ましい低誘電率を有する低誘電率有機シリコン膜が、上で述べられたように開発されたが、これらの低誘電率膜のいくつかは、貧弱な機械的強度などのあまり望ましくない機械的特性を提示し、そのことは、その後の半導体処理ステップの間に膜が損傷を受けやすい状態にする。低誘電率膜に損傷を与える可能性がある半導体処理ステップは、低誘電率膜をパターン形成するために使用されるプラズマに基づくエッチングプロセスを包含する。誘電体膜からフォトレジストまたは底部反射防止コーティング(BARC)を除去するためのアッシングプロセスおよび湿式エッチングプロセスもまた、膜に損傷を与える可能性がある。
このように、改善された機械的特性およびその後の基板処理ステップからの損傷への耐性を有する低誘電率膜を作るためのプロセスの必要性が、依然として残っている。
本発明の実施形態は、基板を処理チャンバーの支持部に位置決めするステップと、第1の有機シリコン前駆体を第1の流量でチャンバーに提供するステップと、第2の有機シリコン前駆体を第2の流量でチャンバーに提供するステップと、炭化水素混合物を第3の流量でチャンバーに提供するステップと、酸化剤を第4の流量でチャンバーに提供するステップと、第2の有機シリコン前駆体の流量を第5の流量まで一定の比率で変えるステップと、酸化剤の流量を第6の流量まで一定の比率で変えるステップと、基板が処理されている時間の少なくとも一部にわたってチャンバーを迂回するように炭化水素混合物をわきへそらすステップとを含む、基板を処理する方法を提供する。いくつかの実施形態では、第1の有機シリコン前駆体および炭化水素混合物の流量は、同じように一定の比率で変えられてもよい。いくつかの実施形態では、反応混合物中の炭素原子対シリコン原子の比は、約6:1から約20:1まで増加してもよい。
本発明の他の実施形態は、シリコン、炭素、酸素、および水素を含む複数のガス混合物を処理チャンバーに提供するステップであって、ガス混合物の少なくとも2つは、シリコン源であるステップと、RF電力を印加することによるプラズマ処理条件を処理チャンバーに提供するステップと、膜を基板上に堆積させるためにガス混合物の少なくとも一部分を反応させるステップと、RF電力の印加の間に処理チャンバー中の炭素原子対シリコン原子の比を調節することによって堆積膜の部分の炭素含有量を調節するステップとを含む、基板を処理する方法を提供する。
本発明のさらなる実施形態は、−Si−C−Si−または−Si−O−C−O−Si−結合を有する1つまたは複数の化合物を含み、約6:1未満の炭素原子対シリコン原子の比を有する第1のガス混合物を処理チャンバーに提供するステップと、第1のガス混合物とともに、−Si−C−Si−または−Si−O−C−O−Si−結合を有する1つまたは複数の化合物を含み、約8:1より大きい炭素原子対シリコン原子の比を有する第2のガス混合物を処理チャンバーに提供するステップと、1つまたは複数の炭化水素化合物を含む第3のガス混合物を処理チャンバーに提供するステップであって、1つまたは複数の炭化水素化合物の少なくとも1つは、処理チャンバーに熱的に不安定な基を有するステップと、酸素源を含む第4のガス混合物を処理チャンバーに提供するステップと、基板上に膜を堆積させるためにRF電力を印加し、ガス混合物の少なくとも一部分を反応させるステップと、RF電力を印加している間、膜中の炭素の堆積速度を変化させるために炭素を含有するガス混合物の1つまたは複数を調節するステップと、膜の誘電率を下げるために堆積膜を後処理するステップとを含む、処理チャンバーに配置される基板上に低k誘電体膜を堆積させる方法を提供する。
本発明の上に列挙した特徴が詳細に理解できるように、上で簡潔に要約された本発明のより詳しい記述が、実施形態を参照することによってなされてもよく、それのいくつかは、添付の図面で例示される。しかしながら、本発明は、他の同等に効果的な実施形態を認めてもよいので、添付の図面は、この発明の典型的な実施形態だけを例示し、従って本発明の範囲を限定すると考えられるべきではないことに留意すべきである。
本発明の一実施形態による方法を要約するプロセス流れ図である。 本発明の別の実施形態による方法を要約するプロセス流れ図である。 本発明の異なる実施形態でのさまざまなガス混合物の流量を示すグラフである。 本発明の異なる実施形態でのさまざまなガス混合物の流量を示すグラフである。 本発明の異なる実施形態でのさまざまなガス混合物の流量を示すグラフである。 本発明の異なる実施形態でのさまざまなガス混合物の流量を示すグラフである。 本発明の一実施形態による膜の炭素濃度を示すグラフである。
理解を容易にするために、同一の参照数字が、図に共通する同一の要素を指定するため、可能な場合に使用されている。一実施形態で開示される要素は、明確な列挙なしに他の実施形態で有益に利用されてもよいと考えられる。
本発明は、低誘電率膜を堆積させる方法を提供する。低誘電率膜は、シリコン、酸素、および炭素を含む。膜はまた、ナノメートルの大きさの細孔も含む。低誘電率膜は、約3.0以下、好ましくは約2.5以下、例えば約2.0〜約2.2の間などの誘電率を有する。低誘電率膜は、少なくとも約6GPaの弾性係数を有してもよい。低誘電率膜は、例えば金属間誘電体層として使用されてもよい。本発明の実施形態による低誘電率膜を堆積させる方法は、図1に関して簡潔に述べられ、次いで以下でさらに述べられることになる。
図1は、本発明の一実施形態による方法100を要約するプロセス流れ図である。102において、基板が、プロセスチャンバーの基板支持部に位置決めされる。104において、第1のガス混合物が、チャンバーに提供される。第1のガス混合物は一般に、シリコンおよび炭素を含有する1つまたは複数の化合物を含む。好ましい実施形態では、その化合物は、一般構造−Si−C−Si−、ただしxは、1〜4の間、または一般構造−Si−O−(CH−O−Si−、ただしnは、1〜4の間、を有する有機シリコン化合物である。106において、シリコンおよび炭素を含有する1つまたは複数の化合物を含む第2のガス混合物がチャンバーに提供される。第2のガス混合物のシリコンおよび炭素含有化合物はまた、上で述べられた一般構造を有する有機シリコン化合物であってもよい。大部分の実施形態では、第2のガス混合物は好ましくは、第1のガス混合物よりも高い炭素含有量を有することになる。いくつかの実施形態では、第2のガス混合物は、第1のガス混合物の化合物よりも高い炭素原子対シリコン原子の比を有する化合物を含有することになる。1つまたは複数のポロゲン化合物を含む第3のガス混合物が、108においてチャンバーに提供される。ポロゲン化合物は一般に、炭化水素であることとなり、それの少なくとも1つは、1つまたは複数の熱的に不安定な基を有する。熱的に不安定な基は一般に、不飽和環状有機基などの環状基であることとなる。1つまたは複数の酸化剤を含む第4のガス混合物が、110においてチャンバーに提供される。
112において、ガス混合物が、チャンバーの基板上に低誘電率膜を堆積させるためにRF電力の存在下で反応する。第3のガス混合物のポロゲンは、第1ならびに第2のガス混合物のシリコンおよび炭素含有化合物と反応してもよい。ガスは、その中に熱的に不安定な基を保有する膜を堆積させるように反応する。膜を後処理するステップは、116において表示されるように、膜からのポロゲンおよび/または熱的に不安定な基の分解ならびに放出をもたらし、膜中に空洞またはナノメートルの大きさの細孔の形成をもたらす。
膜の炭素および酸素含有量は、114においてガス混合物の流量を調節することによって調節される。一実施形態では、第1のガス混合物の流量は、一定であり、第2のガス混合物の流量は、一定の比率で増やされる。これは、膜中の堆積に利用できる炭素量を増加させ、膜が成長するにつれて滑らかに増加する炭素含有量をもたらす。別の実施形態では、第3のガス混合物の流量が、炭素を反応に追加するために一定の比率で増やされる。別の実施形態では、第4のガス混合物の流量が、一定の比率で減らされる。膜の部分の炭素および酸素含有量を調節するステップは、酸化物膜と整合するために酸化物のような組成を提供することによって界面での膜の付着を改善し、一方酸化物界面からの距離とともに膜の炭素含有量を滑らかに増加させる。
膜は、ポロゲンを低誘電率膜から実質的に除去するために116において後処理される。
図2は、本発明の別の実施形態による方法200を要約するプロセス流れ図である。基板が、202においてプロセスチャンバーの基板支持部に位置決めされる。204において、−Si−C−Si−結合を有する1つまたは複数の化合物を含む第1のガス混合物が、第1の流量でチャンバーに提供される。206において、−Si−C−Si−結合を有する1つまたは複数の化合物を含む第2のガス混合物が、第2の流量でチャンバーに提供される。第2のガス混合物は一般に、第1のガス混合物とは異なる組成を有することになる。いくつかの実施形態では、第2のガス混合物は、第1のガス混合物よりも高い炭素原子対シリコン原子の比率を有することになる。208において、1つまたは複数の炭化水素化合物を含む第3のガス混合物が、第3の流量でチャンバーに提供される。第3のガス混合物中の炭化水素化合物の少なくとも1つは、本明細書の他の場所で述べられるように、1つまたは複数の熱的に不安定な基を有することになる。210において、1つまたは複数の酸化剤を含む第4のガス混合物が、第4の流量でチャンバーに提供される。
212において、第2のガス混合物の流量が、第5の流量まで一定の比率で変えられ、それは、第2の流量より高くてもよい。第2のガス混合物の流量を増加させることは一般に、膜中の炭素の堆積を増加させる。第5の流量は、第1の流量より高くてもまたは低くてもよい。
214において、第3のガス混合物が、チャンバーを迂回するようにわきへそらされる。第3のガス混合物をわきへそらすステップは、反応混合物の炭素含有量を低減し、膜中の炭素のより低い堆積速度を、従って炭素が低減した反応混合物から堆積される膜の部分のより低い炭素含有量をもたらす。これは、酸化物誘電体と強く整合するために膜の酸化物のような部分を形成するのに有用である可能性がある。膜の酸化物のような部分が形成された後、わきへそらされた第3のガス混合物は、炭素を反応混合物に追加するためにチャンバーに戻されてもよい。追加された炭素は、膜中の炭素のより高い堆積速度をもたらし、膜のそれらの部分のより高い炭素含有量をもたらす。このようにして、堆積膜の炭素含有量は、酸化物のような部分からオキシ炭化物のような部分まで滑らかに調節されてもよい。
216において、第4のガス混合物の流量が、第6の流量まで一定の比率で変えられ、それは、第4の流量より低くてもよい。第4のガス混合物の流量を減少させることは一般に、膜中の酸素の堆積を減少させ、炭素の相対的により高い堆積速度、および低酸素反応混合物から堆積される膜の部分のより高い炭素含有量をもたらす。
図3A〜3Dは、異なる例となる実施形態での上で述べられたさまざまなガス混合物の流量を示すグラフである。図3Aのグラフによって述べられる実施形態では、第1のガス混合物の流量は、プロセス全体にわたって一定に保持される。最初に、第1、第2、および第4のガス混合物だけが、チャンバーに流入する。第3のガス混合物は最初、チャンバーに流入しないが、チャンバーを迂回するようにわきへそらされてもよい。RF電力は、開始期間302によって表わされる期間の間に開始膜を堆積させるために最初のガス混合物に印加される。第1の移行期間304の間に、第2のガス混合物の流量は、RF電力が継続する間一定の比率で増やされる。第1の移行期間304の間に、反応混合物中の元素の濃度が変化して、堆積膜の組成を変化させる。第1の堆積期間306の間に堆積される膜はそれ故に、開始期間302の間に堆積される膜とは異なる組成を有する。しかしながら、RF電力が反応混合物に継続的に印加されたので、膜組成は滑らかに変化し、膜内に界面をもたらさない。膜の付着強度は、そのような界面を避けることによって高められる。第2の移行期間310の間に、これまではチャンバーを迂回している第3のガス混合物が、チャンバーに流入するように戻され、第3のガス混合物の流量が、一定の比率で増やされて、炭素を反応混合物および堆積膜に追加する。この同じ期間の間に、第4のガス混合物の流量が反応器圧力を維持し、反応混合物中の炭素原子対シリコン原子の比を増加させるために一定の比率で減らされて、膜中の炭素の堆積速度をさらに増加させる。反応器圧力はまた、さまざまな前駆体とともに流れる搬送ガスを調節することによって維持されてもよい。第2の移行期間310の後、前駆体は、最終堆積期間のためのそれらの最終流量に達する。第4のガス混合物は、異なる始動および終了流量に起因して、第3のガス混合物の第2の移行期間310より長くてもまたは短くてもよい第3の移行期間308の間に一定の比率で変わってもよい。
図3Aによって例示される実施形態については、次の反応条件および流量が一般に、有益である。
Figure 2011528508
さまざまな移行のためのランプレートは一般に、第1および第2のガス混合物については、規定通りに500mgm/sec〜1000mgm/secの間であり、第3および第4のガス混合物については、規定通りに100mgm/sec〜500mgm/secの間である。わきへそらされる流れについては、反応器への圧力衝撃を避けるために、流量を一定の比率で増やす前に流れをチャンバーへ流れるように戻すことが、一般に好ましい。別法として、わきへそらされる流れの流量の一定の比率での増加は、流れが反応器へ戻されるのと同時に、または直前に始まってもよい。
第1の堆積期間306および最終堆積期間の時間間隔は、異なる条件のもとで堆積される膜の2つの部分の所望の厚さに依存することになる。より高いレベルの炭素、および最終的により高い空隙率を持つ膜を堆積させることは、膜により低い全体的な誘電率をもたらすことになる。第1の堆積期間306は、膜全体の凝集を確実にするために十分長くすべきである。
図3Bは、別の実施形態による流量のグラフである。開始期間312の後には、前述のように、第1の移行期間314、第1の堆積期間316、第2の移行期間320、および最終堆積期間が続く。図3Bの実施形態では、第1のガス混合物の流量は、第2のガス混合物の流量と一緒に、第1の移行期間314の間に一定の比率で変えられる。この実施形態では、第1および第2のガス混合物は、第1の移行期間314の間に同時に一定の比率で変えられる。この実施形態での第2の移行期間は、図3Aの実施形態のそれと全体計画において似ており、第3のガス混合物は、全移行期間320にわたって一定の比率で変わり、第4のガス混合物は、より短い移行期間318にわたって一定の比率で変わる。
図3Bによって例示される実施形態については、次の反応条件および流量が一般に、有益である。
Figure 2011528508
ランプレートは、上で提供されたそれらと似ていてもよいが、堆積膜に望まれる濃度プロファイルに応じて、異なるランプレートが使用されてもよい。
図3Cは、別の実施形態を示す。この実施形態では、第1のガス混合物は、開始期間334の間わきへそらされて、第2および第4のガス混合物だけが、反応器に流入するようになる。第1のガス混合物は、線324によって示されるように、第1の移行期間326の間に第1の流量で反応器に戻され、次いで第2の流量まで一定の比率で変えられてもよく、またはそれは、線322によって示されるように、一定の比率で変わることなく第2の流量で反応器に戻されてもよい。第2のガス混合物の流量もまた、この期間の間に一定の比率で変えられる。前述のように、第1の堆積期間328の後には、第2の移行期間332が続き、その間に、第3および第4のガス混合物は、最終流量まで一定の比率で変えられ、第4のガス混合物は、第2の移行期間332より長くてもまたは短くてもよい第3の移行期間330にわたって一定の比率で変わる。
図3Cによって例示される実施形態については、次の反応条件および流量が一般に、有益である。
Figure 2011528508
ランプレートは、上で提供されたそれらと似ていてもよいが、堆積膜に望まれる濃度プロファイルに応じて、異なるランプレートが使用されてもよい。
図3Dによって例示される最終の例となる実施形態では、第1のガス混合物の流量は一定に保持され、一方第4のガス混合物の流量は、2つの異なる移行期間の間に二度一定の比率で変えられる。開始期間326の後、第2のガス混合物の流量は、第1の移行期間338の間に一定の比率で変えられる。第1の堆積期間340の後、第4のガス混合物の流量は、第2の移行期間342の間に一定の比率で変えられる。図3Dで示されるように、第3のガス混合物の流量は、第2の移行期間342および第3の移行期間344にわたって一定の比率で変えられる。第2の堆積期間346の後、第4のガス混合物の流量は、第4の移行期間348でもう一度一定の比率で変えられ、その後、最終堆積期間が、引き続いて起きる。
図3Dによって例示される実施形態については、次の反応条件および流量が一般に有益である。
Figure 2011528508
ランプレートは、上で提供されたそれらと似ていてもよいが、堆積膜に望まれる濃度プロファイルに応じて、異なるランプレートが使用されてもよい。
上で述べられたさまざまな期間のための時間は、特定の実施形態の必要に応じて選択されてもよい。いくつかの実施形態では、開始期間は、0から10秒まで続いてもよい。0秒の開始期間は、ガスの流れの流量を変化させることが、それらをチャンバーに導入するとすぐに始まることを意味する。このため、開始期間のない実施形態が考えられる。いくつかのそのような実施形態では、プロセスは、第1の移行期間および第1の堆積期間から始まり、おそらく他の移行および堆積期間が後に続いて、連続する移行および堆積期間の間に反応混合物および堆積膜中の炭素含有量を一般に増加させる。他の実施形態では、第1の移行期間は1から10秒まで続いてもよい。いくつかの実施形態では、各堆積期間は1から180秒まで続いてもよい。いくつかの実施形態では、第2の移行期間は1から180秒まで続いてもよい。なお他の実施形態では、第3および第4の移行期間は、もし必要とされるならば、1から60秒まで続いてもよい。
開始期間は好ましくは、膜の薄い部分の堆積をもたらす。大部分の実施形態では、この部分は、約10オングストローム未満の厚さを有することになる。膜の薄い開始部分の堆積は、低い堆積速度および相対的に短い継続時間を通じて達成される。最初の堆積速度は好ましくは、約500オングストローム/分から約1,000オングストローム/分、例えば約600オングストローム/分などであり、反応性ガスの流量が増加するにつれて、後の堆積期間の間に約3,000オングストローム/分まで上昇する。
先述の実施形態は、良好な付着特性を持つ多孔性低k誘電体膜を生産するために有効な例となる処理条件を示すために提供される。本発明の実施形態を使用して堆積される膜の付着特性は一般に、後処理の前には、膜を通して滑らかに変化する炭素濃度を有する。図4は、例となる膜の炭素濃度を示すグラフである。膜の部分402は、相対的に低い炭素濃度を有する酸化物のような部分である。いくつかの実施形態では、酸化物のような部分の炭素濃度は、近似的にゼロであってもよいが、低い非ゼロ濃度は、膜全体の堆積を通してより良好なプロセス制御を可能にすることもある。炭素濃度は、上で述べられたような移行および中間堆積期間の間に一般に堆積される膜の移行部分404の間に上昇し、次いで最終部分406の間に最大値に達する。最終部分406は、一般に最大限の炭素で堆積されることになり、膜に低誘電率を提供するための後処理後に一般に最大空隙率を有することになる。
第1および第2のガス混合物に包含されるべき好ましい化合物は、一般式(RSiRSi(Rを有する化合物の部類からであり、ただし各Rは、アルキル、アルコキシ、またはアルケニル基であり、CH、OCH、OC、C=CH、H、およびOHから成る群から独立して選択されてもよく、Rは、(CH、C≡C、C=C、C、C=O、(CF、およびそれらの組合せから成る群から選択され、aおよびbは、1から4である。他の好ましい化合物は、−SiRSi−構造を各シリコンが炭素環に位置を占める環状構造と交換し、それはまた、酸素原子を包含してもよい。これらの一般構造を持つ化合物の例となる範疇は、ビスシリルアルカン、ジシラシクロアルカン、ジシラオキサシクロアルカン、およびジシラフランを包含する。いくつかの例となる化合物は、ビス(トリエトキシシリル)メタン(C1332Si)、テトラメチル−1,3−ジシラシクロブタン(C16Si)、テトラメチル−2,5−ジシラ−1−オキサシクロペンタン、およびテトラメチルジシラフラン(C16OSi)を包含する。他の例となる範疇の化合物は、一般式(RSiO(CHOSi(Rを有し、ただし各Rは、CH、OCH、OC、C=CH、H、およびOHから成る群から独立して選択され、fは1から4である。この範疇の化合物は、例えば、ビスアルキルシロキシアルカンを包含する。そのような化合物の例は、ビス(トリメチルシロキシ)エタン(C22Si)である。
シリコンおよび炭素を含有する1つまたは複数の化合物はまた、上で述べられた一般構造を包含しない有機シリコン化合物を含んでもよい。例えば、1つまたは複数の化合物は、メチルジエトキシシラン(MDEOS)、テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、トリメチルシラン(TMS)、ペンタメチルシクロペンタシロキサン、ヘキサメチルシクロトリシロキサン、ジメチルジシロキサン、テトラメチルジシロキサン、ヘキサメチルジシロキサン(HMDS)、1,3−ビス(シラノメチレン)ジシロキサン、ビス(1−メチルジシロキサニル)メタン、ビス(1−メチルジシロキサニル)プロパン、ヘキサメトキシジシロキサン(HMDOS)、ジメチルジメトキシシラン(DMDMOS)、またはジメトキシメチルビニルシラン(DMMVS)を包含してもよい。
第3のガス混合物は一般に、1つまたは複数のポロゲン化合物を含む。ポロゲンは、熱的に不安定な基を含む化合物である。熱的に不安定な基は、不飽和環状有機基などの環状基であってもよい。本明細書で使用されるような術語「環状基」は、リング構造に言及することが意図される。リング構造は、わずか3つの原子を含有してもよい。原子は、例えば、炭素、窒素、酸素、フッ素、およびそれらの組合せを包含してもよい。環状基は、1つまたは複数の単結合、二重結合、三重結合、およびそれらの任意の組合せを包含してもよい。例えば、環状基は、1つまたは複数の芳香族化合物、アリル基、フェニル基、シクロヘキサン、シクロヘキサジエン、シクロヘプタジエン、およびそれらの組合せを包含してもよい。環状基はまた、二環状または三環状であってもよい。一実施形態では、環状基は、線状または分岐官能基に結合される。線状または分岐官能基は好ましくは、アルキルまたはビニルアルキル基を含有し、1〜20の間の炭素原子を有する。線状または分岐官能基はまた、ケトン、エーテル、およびエステルなどで酸素原子を包含してもよい。ポロゲンは、環状炭化水素化合物を含んでもよい。使用されてもよいいくつかの例となるポロゲンは、ノルボルナジエン(BCHD、ビシクロ(2,2,1)ヘプタ−2,5−ジエン)、アルファ−テルピネン(ATP)、ビニルシクロヘキサン(VCH)、フェニルアセテート、ブタジエン、イソプレン、シクロヘキサジエン、1−メチル−4−(1−メチルエチル)−ベンゼン(シメン)、3−カレン、フェンコン、リモネン、シクロペンタン酸化物、ビニル−1,4−ジオキシニルエーテル、ビニルフリルエーテル、ビニル−1,4−ダイオキシン、ビニルフラン、フロ酸メチル、ギ酸フリル、フリルアセテート、フルアルデヒド、ジフリルケトン、ジフリルエーテル、ジフルフリルエーテル、フラン、および1,4−ダイオキシンを包含する。
さまざまなガス混合物が導入されるチャンバーは、プラズマ強化化学気相堆積(PECVD)チャンバーであってもよい。堆積プロセスのためのプラズマは、一定のラジオ周波数(RF)電力、パルス状RF電力、高周波数RF電力、二重周波数RF電力、またはそれらの組合せを使用して発生されてもよい。使用されてもよいPECVDチャンバーの例は、Santa Clara、CA.のApplied Materials、Inc.から入手できるPRODUCER(登録商標)チャンバーである。しかしながら、他のチャンバーが、低誘電率膜を堆積させるために使用されてもよい。チャンバーは一般に、シャワーヘッドなどのガス分配プレートを含むガス分配アセンブリを含む。RF電力は、プラズマ処理条件を提供するためにシャワーヘッドなどの電極に印加される。基板は一般に基板支持部に配置され、それは、ガス分配プレートと一緒に反応帯域を共同して規定する。スロットルバルブは、チャンバー圧力を維持するために排気導管に提供される。スロットルバルブは、チャンバー圧力を制御するために多くの流量変化の間に調節される。
上で述べられたプロセスの間に、基板は典型的には、約100℃〜約400℃の間の温度に維持される。チャンバー圧力は、約1Torr〜約20Torrの間であってもよく、基板支持部とチャンバーシャワーヘッドとの間の間隔は、約200ミル〜約1500ミルの間であってもよい。約0.14W/cm〜約2.8W/cmの間に及ぶ電力密度が使用されてもよく、それは、300mm基板については約100W〜約2000Wの間のRF電力レベルである。RF電力は、約0.01MHz〜300MHzの間の、例えば約13.56MHzなどの周波数で提供される。RF電力は、混合周波数で、例えば約13.56MHzの高周波数および約350kHzの低周波数などで提供されてもよい。RF電力は、基板の加熱を低減し、堆積膜中のより大きな空隙率を促進するために循環されるまたはパルス状であってもよい。RF電力はまた、連続的または不連続的であってもよい。
使用されてもよい例となるUV後処理条件は、約1Torr〜約10Torrの間のチャンバー圧力および約350℃〜約500℃の間の基板支持温度を包含する。UV放射は、水銀マイクロ波アークランプ、パルス状キセノンフラッシュランプ、または高効率UV発光ダイオードアレイなどの、任意のUV源によって提供されてもよい。UV放射は、例えば、約170nm〜約400nmの間の波長を有してもよい。使用されてもよいUVチャンバーおよび処理条件のさらなる詳細は、2005年5月9日に出願された、本願の譲受人に譲渡された米国特許出願第11/124,908号明細書で述べられており、それは、参照により本明細書に組み込まれる。Applied Materials、Inc.からのNanoCure(商標)チャンバーは、UV後処理に使用されてもよい市販のチャンバーの例である。
使用されてもよい例となる電子ビーム条件は、約200℃〜約600℃の間の、例えば約350℃から約400℃のチャンバー温度を包含する。電子ビームエネルギーは、約0.5keVから約30keVであってもよい。照射線量は、約1μC/cm〜約400μC/cmの間であってもよい。チャンバー圧力は、約1mTorr〜約100mTorrの間であってもよい。チャンバーのガス環境は、次のガス、すなわち窒素、酸素、水素、アルゴン、水素および窒素の混合、アンモニア、キセノン、またはこれらのガスの任意の組合せのいずれであってもよい。電子ビーム電流は、約0.15mA〜約50mAの間であってもよい。電子ビーム処理は、約1分〜約15分の間にわたって行われてもよい。任意の電子ビーム装置が使用されてもよいが、使用されてもよい例となる電子ビームチャンバーは、Santa Clara、CA.のApplied Materials、Inc.から入手できるEBk(商標)電子ビームチャンバーである。
例となる熱アニールする後処理は、約200℃〜約500℃の間の基板温度で約2秒から約3時間、好ましくは約0.5から約2時間にわたって膜をチャンバーでアニールすることを包む。ヘリウム、水素、窒素、またはそれらの混合物などの非反応性ガスが、約100から約10,000sccmの流量でチャンバーに導入されてもよい。チャンバー圧力は、約1mTorr〜約10Torrの間に維持される。好ましい基板間隔は、約300ミル〜約800ミルの間である。
本明細書で提供される有機シリコン化合物は、低誘電率膜を化学的に気相堆積させるためにポロゲンを含有しないガス混合物で使用できると認識される。しかしながら、本明細書で述べられる有機シリコン化合物を含み、ポロゲンを欠くガス混合物から堆積される膜は、他の有機シリコン化合物を含むポロゲンのない混合物から堆積される膜と比較して改善された機械的特性を有すると期待されるが、典型的には、ポロゲンは、所望の約2.4以下のより低い誘電率を提供するために包含される。
先述のことは、本発明の実施形態を対象にするが、本発明の他のおよびさらなる実施形態が、本発明の基本的範囲から逸脱することなく考案されてもよく、本発明の範囲は、次に来る特許請求の範囲によって決定される。

Claims (15)

  1. 基板を処理する方法であって、
    前記基板を処理チャンバーの支持部に位置決めするステップと、
    第1の有機シリコン前駆体を第1の流量で前記チャンバーに提供するステップと、
    第2の有機シリコン前駆体を第2の流量で前記チャンバーに提供するステップと、
    炭化水素混合物を第3の流量で前記チャンバーに提供するステップと、
    酸化剤を第4の流量で前記チャンバーに提供するステップと、
    前記第2の有機シリコン前駆体の前記第2の流量をより高い流量まで一定の比率で増やすステップと、
    前記酸化剤の前記流量をより高い流量まで一定の比率で増やすステップと、
    前記基板が処理されている時間の少なくとも一部にわたって前記チャンバーを迂回するように前記炭化水素混合物をわきへそらすステップとを含む、方法。
  2. 前記第1の有機シリコン前駆体は、前記第2の有機シリコン前駆体より低い炭素原子対シリコン原子の比を有する、請求項1に記載の方法。
  3. 前記炭化水素混合物は、環状基を有する1つまたは複数の化合物を含む、請求項1に記載の方法。
  4. 前記第2の有機シリコン前駆体の前記第2の流量を一定の比率で変えるステップは、前記酸化剤を一定の比率で変えるために使用されるランプレートより速いランプレートを含む、請求項1に記載の方法。
  5. 前記第1の有機シリコン前駆体、前記第2の有機シリコン前駆体、前記炭化水素混合物、および前記酸化剤は、前記処理チャンバーで反応混合物を形成し、前記反応混合物中の炭素原子対シリコン原子の比は、前記基板の処理の間に約3:1から約20:1まで増加する、請求項1に記載の方法。
  6. 基板を処理する方法であって、
    シリコン、炭素、酸素、および水素を含む複数のガス混合物を処理チャンバーに提供するステップであって、前記ガス混合物の少なくとも2つはシリコン源であるステップと、
    RF電力を前記処理チャンバーに印加することによってプラズマ処理条件を提供するステップと、
    前記基板上に膜を堆積させるために前記ガス混合物の少なくとも一部分を反応させるステップと、
    RF電力の印加の間に前記処理チャンバー中の炭素原子対シリコン原子の比を調節することによって前記堆積膜の部分の前記炭素含有量を調節するステップとを含む、方法。
  7. 前記処理チャンバー中の炭素原子対シリコン原子の前記比を調節するステップは、前記チャンバーを迂回するように前記ガス混合物の1つまたは複数をわきへそらすステップを含む、請求項6に記載の方法。
  8. 前記複数のガス混合物は、−Si−C−Si−結合を有する1つまたは複数の有機シリコン化合物を含む第1のガス混合物を含む、請求項6に記載の方法。
  9. 前記複数のガス混合物はさらに、熱的に不安定な基を有する1つまたは複数の炭化水素化合物を含む第2のガス混合物を含む、請求項8に記載の方法。
  10. 前記基板を後処理することによって前記堆積膜中に細孔を発生させるステップをさらに含む、請求項6に記載の方法。
  11. 前記処理チャンバー中の炭素原子対水素原子の前記比を調節するステップは、前記処理チャンバーを迂回するように前記1つまたは複数の炭化水素化合物をわきへそらすステップを含む、請求項9に記載の方法。
  12. 前記堆積膜の前記炭素含有量を調節するステップは、低い炭素含有量を持つ前記膜の酸化物のような部分を堆積させるステップ、前記膜の移行部分で前記炭素含有量を滑らかに増加させるステップ、および最大炭素含有量を持つ前記膜のオキシ炭化物のような部分を堆積させるステップを含む、請求項6に記載の方法。
  13. 処理チャンバーに配置される基板上に低k誘電体膜を堆積させる方法であって、
    −Si−C−Si−または−Si−O−C−O−Si−結合を有する1つまたは複数の化合物を含み、約6:1未満の炭素原子対シリコン原子の比を有する第1のガス混合物を前記処理チャンバーに提供するステップと、
    前記第1のガス混合物とともに、−Si−C−Si−または−Si−O−C−O−Si−結合を有する1つまたは複数の化合物を含み、約8:1より大きい炭素原子対シリコン原子の比を有する第2のガス混合物を前記処理チャンバーに提供するステップと、
    1つまたは複数の炭化水素化合物を含む第3のガス混合物を前記処理チャンバーに提供するステップであって、前記1つまたは複数の炭化水素化合物の少なくとも1つは、熱的に不安定な基を有するステップと、
    酸素源を含む第4のガス混合物を前記処理チャンバーに提供するステップと、
    前記基板上に膜を堆積させるためにRF電力を印加し、前記ガス混合物の少なくとも一部分を反応させるステップと、
    RF電力を印加している間に、前記膜中の炭素の堆積速度を変化させるために炭素を含有する前記ガス混合物の1つまたは複数の量を調節するステップと、
    前記膜の誘電率を下げるために前記堆積膜を後処理するステップとを含む、方法。
  14. −Si−C−Si−または−Si−O−C−O−Si−結合を有する前記1つまたは複数の化合物は、ビス(トリエトキシシリル)メタン(C1332Si)、テトラメチル−1,3−ジシラシクロブタン(C16Si)、テトラメチル−2,5−ジシラ−1−オキサシクロペンタン、テトラメチルジシラフラン(C16OSi)、およびビス(トリメチルシロキシ)エタン(C22Si)から成る群から各々選択される、請求項13に記載の方法。
  15. 前記堆積膜を後処理するステップは、より高い炭素含有量を有する前記膜の部分に細孔を発生させる、請求項13に記載の方法。
JP2011518779A 2008-07-15 2009-06-30 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法 Pending JP2011528508A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/173,659 2008-07-15
US12/173,659 US20100015816A1 (en) 2008-07-15 2008-07-15 Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
PCT/US2009/049216 WO2010008930A2 (en) 2008-07-15 2009-06-30 Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors

Publications (2)

Publication Number Publication Date
JP2011528508A true JP2011528508A (ja) 2011-11-17
JP2011528508A5 JP2011528508A5 (ja) 2013-07-18

Family

ID=41530671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011518779A Pending JP2011528508A (ja) 2008-07-15 2009-06-30 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法

Country Status (6)

Country Link
US (1) US20100015816A1 (ja)
JP (1) JP2011528508A (ja)
KR (1) KR20110039556A (ja)
CN (1) CN102099897A (ja)
TW (1) TW201025425A (ja)
WO (1) WO2010008930A2 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110241200A1 (en) * 2010-04-05 2011-10-06 International Business Machines Corporation Ultra low dielectric constant material with enhanced mechanical properties
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
WO2020112782A1 (en) * 2018-11-27 2020-06-04 Versum Materials Us, Llc 1-methyl-1-iso-propoxy-silacycloalkanes and dense organosilica films made therefrom
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH043926A (ja) * 1990-04-20 1992-01-08 Sony Corp 半導体装置の製造方法
JP2001035845A (ja) * 1999-07-21 2001-02-09 Nec Corp 半導体装置の製造方法及びそれに使用されるプラズマ絶縁膜の成膜装置
JP2005019980A (ja) * 2003-05-29 2005-01-20 Air Products & Chemicals Inc 低誘電率有機ケイ酸塩ガラス膜の作製方法
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US20060160376A1 (en) * 2005-01-19 2006-07-20 Deenesh Padhi Interface engineering to improve adhesion between low k stacks
JP2006216541A (ja) * 2005-01-21 2006-08-17 Internatl Business Mach Corp <Ibm> 誘電体材料、相互接続構造、電子構造、電子センシング構造および該製作方法(改良された靭性および改良されたSi−C結合を有するSiCOH誘電体材料、該誘電体材料を含む半導体デバイスおよび該誘電体材料の製作方法)
JP2006339506A (ja) * 2005-06-03 2006-12-14 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置の製造方法
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
JP2007258403A (ja) * 2006-03-23 2007-10-04 United Microelectronics Corp 多孔性低誘電率薄膜及びその製作方法
JP2007531319A (ja) * 2004-03-31 2007-11-01 アプライド マテリアルズ インコーポレイテッド 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法
WO2008036810A2 (en) * 2006-09-20 2008-03-27 Applied Materials, Inc. Bi-layer capping of low-k dielectric films
JP2008511758A (ja) * 2004-09-01 2008-04-17 アプライド マテリアルズ インコーポレイテッド 粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6518646B1 (en) * 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
CA2583610A1 (en) * 2004-09-22 2006-03-30 Benjamin David Hatton Method of transformation of bridging organic groups in organosilica materials
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7799377B2 (en) * 2006-12-07 2010-09-21 Electronics And Telecommunications Research Institute Organic/inorganic thin film deposition method
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH043926A (ja) * 1990-04-20 1992-01-08 Sony Corp 半導体装置の製造方法
JP2001035845A (ja) * 1999-07-21 2001-02-09 Nec Corp 半導体装置の製造方法及びそれに使用されるプラズマ絶縁膜の成膜装置
JP2005019980A (ja) * 2003-05-29 2005-01-20 Air Products & Chemicals Inc 低誘電率有機ケイ酸塩ガラス膜の作製方法
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
JP2007531319A (ja) * 2004-03-31 2007-11-01 アプライド マテリアルズ インコーポレイテッド 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法
JP2008511758A (ja) * 2004-09-01 2008-04-17 アプライド マテリアルズ インコーポレイテッド 粘性前駆物質を用いた化学気相堆積による機能的に段階的な誘電体層の堆積方法
US20060160376A1 (en) * 2005-01-19 2006-07-20 Deenesh Padhi Interface engineering to improve adhesion between low k stacks
JP2006216541A (ja) * 2005-01-21 2006-08-17 Internatl Business Mach Corp <Ibm> 誘電体材料、相互接続構造、電子構造、電子センシング構造および該製作方法(改良された靭性および改良されたSi−C結合を有するSiCOH誘電体材料、該誘電体材料を含む半導体デバイスおよび該誘電体材料の製作方法)
JP2006339506A (ja) * 2005-06-03 2006-12-14 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置の製造方法
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
JP2007258403A (ja) * 2006-03-23 2007-10-04 United Microelectronics Corp 多孔性低誘電率薄膜及びその製作方法
WO2008036810A2 (en) * 2006-09-20 2008-03-27 Applied Materials, Inc. Bi-layer capping of low-k dielectric films
JP2010504648A (ja) * 2006-09-20 2010-02-12 アプライド マテリアルズ インコーポレイテッド 低k誘電膜の二層キャッピング

Also Published As

Publication number Publication date
KR20110039556A (ko) 2011-04-19
WO2010008930A2 (en) 2010-01-21
WO2010008930A3 (en) 2010-04-08
CN102099897A (zh) 2011-06-15
US20100015816A1 (en) 2010-01-21
TW201025425A (en) 2010-07-01

Similar Documents

Publication Publication Date Title
JP5312588B2 (ja) プラズマ促進化学蒸着で高い機械的諸特性を有する超低k膜を作製するための新規なケイ素前駆体
US20120121823A1 (en) Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
US7297376B1 (en) Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20070134435A1 (en) Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20020160626A1 (en) Siloxan polymer film on semiconductor substrate
KR101154111B1 (ko) 플라즈마 증진 화학 기상 증착에 의한 높은 기계적 성질을 지니는 초저­k 필름을 제조하기 위한 실리콘 전구체
US20020072220A1 (en) Low-k dielectric CVD precursors and uses thereof
US20110206857A1 (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
KR100899726B1 (ko) 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법
JP2014505356A (ja) 耐集積損傷性を改善するインシトゥ低誘電率キャッピング
JP5544167B2 (ja) 低k誘電膜の二層キャッピング
JP2011528508A (ja) 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法
KR100926722B1 (ko) 반도체 기판상의 실록산 중합체막 및 그 제조방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120702

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130403

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130410

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20130529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140430