CN102099897A - 在从多液态前体沉积的多孔低k薄膜和阻障层间提升黏着性的方法 - Google Patents

在从多液态前体沉积的多孔低k薄膜和阻障层间提升黏着性的方法 Download PDF

Info

Publication number
CN102099897A
CN102099897A CN2009801283109A CN200980128310A CN102099897A CN 102099897 A CN102099897 A CN 102099897A CN 2009801283109 A CN2009801283109 A CN 2009801283109A CN 200980128310 A CN200980128310 A CN 200980128310A CN 102099897 A CN102099897 A CN 102099897A
Authority
CN
China
Prior art keywords
admixture
gas
film
chamber
flow velocity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801283109A
Other languages
English (en)
Inventor
K·陈
任康树
A·T·迪莫斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102099897A publication Critical patent/CN102099897A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在此提出处理基板的方法,其中第一有机硅前体、第二有机硅前体、成孔剂和氧源供应至处理腔室。第一有机硅前体包含整体而言碳含量较低的化合物。第二有机硅前体包含碳含量较高的化合物。成孔剂包含碳氢化合物。施加RF功率以沉积膜层至基板上,并调整各种反应物气流的流速以改变部分沉积膜的碳含量。在一实施例中,沉积膜的起始部分具低碳含量,因而类似氧化物,后继部分则具高碳含量,而类似碳氧化物。另一实施例的特征在于没有类似氧化物的起始部分。后续处理将于膜的高碳含量部分内产生细孔。

Description

在从多液态前体沉积的多孔低k薄膜和阻障层间提升黏着性的方法
技术领域
本发明的实施例大体上是关于集成电路制造。更明确而言,本发明的实施例是关于沉积用于集成电路的低介电常数膜的工艺。
背景技术
自从数十年前集成电路问世以来,此类装置的尺寸已大幅缩小。集成电路一般依循每两年尺寸减半的规则(通常称为摩尔定律(Moore’s Law)),其意指芯片上的组件数量每两年即增加一倍。目前的生产设施惯常制造特征尺寸为90纳米(nm)、甚至65nm的组件,很快地,未来设施将制造更小特征尺寸的组件。
随着组件几何尺寸不断缩小,以致需要使用更低介电常数(k)的薄膜,才能降低相邻金属线之间的电容耦合效应及进一步缩小集成电路上的组件尺寸。特别是希望绝缘体的介电常数能小于约4.0。具有低介电常数的绝缘体例子包括旋涂玻璃、掺杂氟的硅玻璃(FSG)、掺杂碳的氧化物和聚四氟乙烯(PTFE),其皆为商业上可取得。
近来已发展出介电常数小于约3.0、甚至小于约2.5的低介电常数有机硅膜。一种用来形成低介电常数有机硅膜的方法为,使用包含有机硅化合物和包含热不稳定物种(thermally labile species)或挥发性基团的化合物所构成的气体混合物来沉积薄膜,接着后续处理沉积膜以移除沉积膜中的热不稳定物种或挥发性基团(例如,有机基团)。移除沉积膜中的热不稳定物种或挥发性基团会于膜内形成纳米级空隙,这些空隙会降低膜的介电常数,空气的介电常数约为1。
尽管已开发出上述具有期望低介电常数的低介电常数有机硅膜,然而,一些低介电常数膜的机械性质仍不如预期,例如机械强度不佳,以致于在后续的半导体处理步骤期间,该些膜易遭破坏。可能破坏低介电常数膜的半导体处理步骤包括等离子式蚀刻工艺,其用来图案化低介电常数膜。用以从介电膜上移除光阻或底部抗反射涂层(BARC)的灰化工艺和湿蚀刻工艺也会破坏该些膜。
因此,仍需制造低介电常数膜的工艺,其具有改善的机械性质且能抵抗后续基板处理步骤的破坏。
发明内容
本发明的实施例提出处理基板的方法,包含将基板放到处理腔室内的支撑件上,以第一流速提供第一有机硅前体至该腔室,以第二流速提供第二有机硅前体至该腔室,以第三流速提供碳氢化合物混合物至该腔室,以第四流速提供氧化剂至该腔室,提高第二有机硅前体的流速至第五流速,提高氧化剂的流速成为第六流速,以及在基板正进行处理时的至少部分时间内,引导碳氢化合物混合物改道绕(bypass)过该腔室。在一些实施例中,亦提高第一有机硅前体和碳氢化合物混合物的流速。在一些实施例中,反应混合物中的碳原子与硅原子比率从约6∶1提高到约20∶1。
本发明的其它实施例提出处理基板的方法,包含提供数个含硅、碳、氧和氢的气体混合物至处理腔室,且该些气体混合物的其中至少二种气体混合物为硅源,通过施加射频(RF)功率于处理腔室来提供等离子处理条件,使至少一部分的该些气体混合物反应而沉积膜层于基板上,以及在施加RF功率期间,调整处理腔室中的碳原子与硅原子比率,藉以调整沉积膜的多个部分中的碳含量。
本发明的另些实施例提出在处理腔室内沉积低介电常数(k)介电膜至基板上的方法,包含提供第一气体混合物至处理腔室,该第一气体混合物包含一或多种具有-Si-Cx-Si-或-Si-O-Cx-O-Si-键结且碳原子与硅原子比率小于约6∶1的化合物;伴随第一气体混合物,提供第二气体混合物至处理腔室,第二气体混合物包含一或多种具有-Si-Cx-Si-或-Si-O-Cx-O-Si-键结且碳原子与硅原子比率大于约8∶1的化合物;提供包含一或多种碳氢化合物的第三气体混合物至处理腔室,该一或多种碳氢化合物中的至少其中一种碳氢化合物具有热不稳定基团;提供包含氧源的第四气体混合物至处理腔室;施加RF功率,并在施加RF功率时,使至少一部分的该些气体混合物反应而沉积膜于基板上;调整该些含碳的气体混合物的其中一种或多种气体混合物,藉以改变膜中的碳沉积速度;以及后续处理该沉积膜以降低该膜的介电常数。
附图说明
为了详细了解本发明上述特征,可配合实施例概要整理本发明的进一步具体说明如下,部分实施例绘示于附图中。须注意的是,所附图式仅揭露本发明的典型实施例,其并非用以限定本发明范围,本发明允许做出其它等效实施例。
图1为根据本发明一实施例的方法的工艺流程图。
图2为根据本发明另一实施例的方法的工艺流程图。
图3A-3D为在本发明的不同实施例中,各种气体混合物的流速曲线图。
图4为根据本发明一实施例所做的薄膜的碳浓度曲线图。
为助于了解,各图中相同的组件符号代表相同的组件。应理解某一实施例揭露的组件当可有利地应用于其它实施例中,在此不另外详述。
具体实施方式
本发明提出沉积低介电常数膜的方法。该低介电常数膜包含硅、氧和碳。该膜还包含纳米级细孔。该低介电常数膜的介电常数为约3.0或更小,较佳约2.5或更小,例如介于约2.0至2.2之间。该低介电常数膜的弹性模数至少为约6GPa。该低介电常数膜例如可当作金属间介电层。根据本发明一实施例的沉积低介电常数膜的方法将参照图1详述于下。
图1概述根据本发明一实施例的方法100的工艺流程图。在步骤102中,将基板放到处理腔室内的基板支撑件上。在步骤104中,提供第一气体混合物至该腔室。第一气体混合物一般包含一或多种含硅和碳的化合物。在较佳实施例中,该些化合物为通式结构以-Si-Cx-Si-表示的有机硅化合物,其中x介于1至4之间;或者该些化合物为通式结构以-Si-O-(CH2)n-O-Si-表示的有机硅化合物,其中n介于1至4之间。在步骤106中,提供第二气体混合物至该腔室,第二气体混合物包含一或多种含硅与碳的化合物。第二气体混合物中的含硅与碳的化合物也可为具有上述通式结构的有机硅化合物。在多数实施例中,第二气体混合物的碳含量最好比第一气体混合物要多。在一些实施例中,第二气体混合物所含化合物的碳原子与硅原子比率比第一气体混合物所含的化合物要高。在步骤108中,提供包含一或多种成孔剂(porogen)化合物的第三气体混合物至该腔室。该些成孔剂化合物通常为碳氢化合物(hydrocarbons),且该些碳氢化合物的至少其中一者具有一或多个热不稳定基团。热不稳定基团一般为环状基团,例如不饱和环状有机基团。在步骤110中,提供包含一或多种氧化剂的第四气体混合物至该腔室。
在步骤112中,在射频(RF)功率存在的情况下,该些气体混合物反应而沉积低介电常数膜至腔室内的基板上。第三气体混合物的成孔剂可与第一和第二气体混合物的含硅和碳的化合物反应。该些气体反应沉积出的膜中保留有热不稳定基团。如步骤116所示,后续处理该膜,以分解及释出膜中的成孔剂及/或热不稳定基团,进而于膜内形成空隙或纳米级细孔。
在步骤114中,调整该些气体混合物的流速,以调整膜的碳与氧含量。在一实施例中,第一气体混合物的流速保持不变,并提高第二气体混合物的流速。如此将增加可用于沉积膜的碳量,故产生的碳含量会随着膜成长而平稳增加。在另一实施例中,提高第三气体混合物的流速以在反应中增加碳。在又一实施例中,降低第四气体混合物的流速。由于调整该膜的多个部分的碳与氧含量可提供类氧化物(oxide-like)的组成来与氧化物膜接合而改善界面处的膜黏着性,同时膜的碳含量随着至氧化物界面的距离平稳增加。
在步骤116中,后续处理该膜,藉以实质移除低介电常数膜中的成孔剂。
图2为根据本发明另一实施例的方法200的工艺流程图。在步骤202中,将基板放到处理腔室内的基板支撑件上。在步骤204中,以第一流速提供第一气体混合物至该腔室,第一气体混合物包含一或多种具有-Si-Cx-Si-键结的化合物。在步骤206中,以第二流速提供第二气体混合物至该腔室,第二气体混合物包含一或多种具有-Si-Cx-Si-键结的化合物。第二气体混合物的组成通常与第一气体混合物不同。在一些实施例中,第二气体混合物含有的碳原子与硅原子比率高于第一气体混合物。在步骤208中,以第三流速提供包含一或多种碳氢化合物的第三气体混合物至该腔室。第三气体混合物中的至少一种碳氢化合物具有如本文它处所述的一或多个热不稳定基团。在步骤210中,以第四流速提供包含一或多种氧化剂的第四气体混合物至该腔室。
在步骤212中,改变第二气体混合物的流速至第五流速,第五流速可大于第二流速。提高第二气体混合物的流速通常增加膜中沉积的碳。第五流速可大于或小于第一流速。
在步骤214中,引导第三气体混合物改道绕过该腔室。使第三气体混合物改道可减少反应混合物的碳含量,因而降低膜中的碳沉积速度,使得在该膜中由该少碳的反应混合物沉积而得的部分中具有较低碳含量。此有助于形成该膜的类氧化物部分(oxide-like portion),来牢固地接合至氧化物介电质。形成该膜的类氧化物部分之后,使改道的第三气体混合物回到该腔室,以增加反应混合物的碳量。增加碳可加快膜中的碳沉积速度,进而使得膜的该些部分中具有较高碳含量。以此方式,可平稳地将该沉积膜的碳含量从类氧化物部分(oxide-like portion)调整成类碳氧化物部分(oxycarbide-like portion)。
在步骤216中,将第四气体混合物的流速变成第六流速,第六流速可小于第四流速。降低第四气体混合物的流速通常会减少膜中的氧沉积作用,导致碳的沉积速度相对较快,并且使得该膜中由少氧的反应混合物沉积而得的部分具有较高碳含量。
图3A~3D显示在不同实施例中,上述各种气体混合物的流速曲线图。在图3A的曲线图所描述的实施例中,第一气体混合物的流速在整个工艺中保持不变。起初,只有第一、第二和第四气体混合物流入腔室。第三气体混合物在最初时不流进腔室,而是改道绕过腔室。RF功率施加至起始的气体混合物,以于初始期间302沉积一起始膜。在第一过渡期间304,提高第二气体混合物的流速,同时持续施加RF功率。在第一过渡期间304,改变反应混合物中的元素浓度,藉以改变沉积膜的组成。第一沉积期间306所沉积的膜组成不同于初始期间302所沉积的膜组成。尽管RF功率持续施加至反应混合物,但膜组成平稳地(smoothly)变化,因此不会在膜内形成界面。避免产生界面可提高膜的黏着强度。在第二过渡期间310,到目前为止一直绕过腔室的第三气体混合物恢复流入腔室,并提高第三气体混合物的流速,以增加反应混合物和沉积膜中的碳。在此期间,降低第四气体混合物的流速,以维持反应器压力及提高反应混合物中的碳原子与硅原子比率,进而提高膜中的碳沉积速度。也可通过调整伴随各种前体流入的载气来维持反应器压力。第二过渡期间310之后,在最终沉积期间,该些前体达到其最终流速。在第三过渡期间308,改变第四气体混合物的流速;因开始和结束的速度不同,故第三过渡期间308可能比第三气体混合物的第二过渡期间310要长或短。
就图3A所示的实施例而言,以下反应条件和流速普遍有所帮助:
Figure BPA00001301163400061
在不同过渡时期,第一和第二气体混合物的变化速度一般介于500mgm/秒至1000mgm/秒之间,第三和第四气体混合物的变化速度一般介于100mgm/秒至500mgm/秒之间。对改道的气流来说,最好在提高流速之前,先让气流流入腔室,以免反应器的压力发生震荡。或者,可于气流返回反应器的同时或恰恢复流入之前,开始提高该改道气流的流速。
第一沉积期间306与最终沉积期间的时间历程(time interval)将视在不同条件下沉积该膜的二部分的预定厚度而定。沉积具有较多碳且最终具有较高孔隙度的膜将会使该膜具有较小的整体介电常数。第一沉积期间306必须够长以确保整个膜的凝聚性(cohesion)。
图3B为根据另一实施例的流速曲线图。如前所述,初始期间312之后接着第一过渡期间314、第一沉积期间316、第二过渡期间320和最终沉积期间。在图3B的实施例中,在第一过渡期间314中改变第一气体混合物的流速和第二气体混合物的流速。在此实施例中,在第一过渡期间314同时改变第一和第二气体混合物的流速。在此实施例中的第二过渡期间类似于图3A实施例的整体计划,其中在整个过渡期间320改变第三气体混合物的流速,并在较短的过渡期间318改变第四气体混合物的流速。
就图3B所示的实施例而言,以下反应条件和流速普遍有所帮助:
Figure BPA00001301163400071
变化速度类似上述,但也可视沉积膜的预定浓度分布而采取不同的变化速度。
图3C显示又一实施例。在此实施例中,在初始期间334,使第一气体混合物改道,仅让第二和第四气体混合物流入反应器。以第一流速使第一气体混合物返回反应器,然后于第一过渡期间326将其变成第二流速(如线324所示),或者使第一气体混合物以第二流速返回反应器而不变化流速(如线322所示)。在此期间亦改变第二气体混合物的流速。如前所述,第一沉积期间328之后接着第二过渡期间332,此时使第三和第四气体混合物变成最终流速,并在整个第三过渡期间330改变第四气体混合物的流速,第三过渡期间330可比第二过渡期间332要长或短。
就图3C所示的实施例而言,以下反应条件和流速普遍有所帮助:
变化速度类似上述,但也可视沉积膜的预定浓度分布而采取不同的变化速度。
在图3D所示的最后示例实施例中,第一气体混合物的流速保持不变,同时在两个不同过渡期间改变第四气体混合物的流速两次。在初始期间334之后,改变第一过渡期间338的第二气体混合物流速。在第一沉积期间340后,改变第二过渡期间342的第四气体混合物流速。如图3D所示,改变整个第二过渡期间342和第三过渡期间344的第三气体混合物流速。在第二沉积期间346后,再次于第四过渡期间348改变第四气体混合物的流速,其后接着最终沉积期间。
就图3D所示的实施例而言,以下反应条件和流速普遍有所帮助:
Figure BPA00001301163400091
变化速度类似上述,但也可视沉积膜的预定浓度分布而采取不同的变化速度。
上述各期间的时间取决于特定实施例的需求。在一些实施例中,初始期间可持续0~10秒。初始期间为0秒表示气流引进腔室时立即改变气流流速。故在此包含无初始期间的实施例。在此实施例中,工艺始于第一过渡期间和第一沉积期间,随后可接着其它过渡期间和沉积期间,并且在后续过渡与沉积期间通常增加反应混合物和沉积膜中的碳含量。在其它实施例中,第一过渡期间持续1~10秒。在一些实施例中,各沉积期间持续1~180秒。在一些实施例中,第二过渡期间持续1~180秒。在另一些实施例中,第三和第四过渡期间(若有)持续1~60秒。
较佳地,初始期间沉积得到该膜的一薄部分。在多数实施例中,此部分的厚度小于约10埃(Angstroms)。透过低沉积速度和相对短的期间可达成该膜的薄起始部分的沉积。初始沉积速度较佳为约500埃/分钟至约1000埃/分钟,例如约600埃/分钟,在稍后的沉积期间,沉积速度随着反应气体流速增加而提高到约3000埃/分钟。
前述实施例显示示范的操作处理条件可用以制造黏着性良好的多孔低k介电膜。利用本发明实施例沉积的膜大致具有在整个膜中呈现平稳变化的碳浓度。图4为示例膜的碳浓度曲线图。膜的部分402是具有相对低碳浓度的类氧化物部分。虽然在一些实施例中,类氧化物部分的碳浓度可能趋近于零,但非零的低浓度允许在整体膜沉积过程中更佳地控制工艺。在膜的过渡部分404中碳浓度于开始上升,其通常是在上述过渡期间和中间沉积期间所沉积而得,接着碳浓度在最后部分406达到最大值。最后部分406一般沉积有最多碳,且经后续处理之后具有最大的孔隙度而提供低介电常数膜。
第一和第二气体混合物含有的较佳化合物包括化学通式为(R1)3SiR2Si(R1)3的化合物,其中R1为烷基、烷氧基或烯基且个别选自由CH3、OCH3、OC2H5、C=CH2、H和OH组成的群组,R2选自由(CH2)a、C≡C、C=C、C6H4、C=O、(CF2)b和其组合物所组成的群组,其中a和b为1~4。其它较佳化合物是以环状结构取代-SiR2Si-结构,其中硅占据碳环中的一位置,该碳环还可包含氧原子。具有此通式结构的示例化合物包括双硅基烷烃(bis-sylylalkanes)、二硅环烷烃(disilacycloalkanes)、二硅氧杂环烷烃(disilaoxacycloalkanes)和二硅呋喃(disilafurans)。一些示例化合物包括双(三乙氧硅基)甲烷(bis(triethoxysilyl)methane,C13H32O6Si2)、四甲基-1,3-二硅环丁烷(tetramethyl-1,3-disilacyclobutane,C6H16Si2)、四甲基-2,5-二硅-1-氧杂环戊烷(tetramethyl-2,5-disila-1-oxacyclopentane)和四甲基二硅呋喃(tetramethyldisilafuran,C6H16OSi2)。其它种类的示例化合物具有化学通式为(R6)3SiO(CH2)fOSi(R6)3,其中每个R6个别选自由CH3、OCH3、OC2H5、C=CH2、H和OH所组成的群组,f为1至4。此类的化合物例如包括双烷基硅氧烷烃(bis-alkylsiloxyalkanes)。此种化合物的其中一范例为双(三甲基硅氧)乙烷(bis(trimethylsiloxy)ethane,C8H22O2Si2)。
一或多个含硅与碳的化合物还可包括不具有上述通式结构的有机硅化合物。例如,该些一或多种化合物包括甲基二乙氧硅烷(methyldiethoxysilane,MDEOS)、四甲基环四硅氧烷(tetramethylcyclotetrasiloxane,TMCTS)、八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)、三甲基硅烷(trimethylsilane,TMS)、五甲基环戊硅氧烷(pentamethylcyclopentasiloxane)、六甲基环三硅氧烷(hexamethylcyclotrisiloxane)、二甲基二硅氧烷(dimethyldisiloxane)、四甲基二硅氧烷(tetramethyldisiloxane)、六甲基二硅氧烷(hexamethyldisiloxane,HMDS)、1,3-双(硅烷基伸甲基)二硅氧烷(1,3-bis(silanomethylene)disiloxane)、双(1-甲基二硅氧基)甲烷(bis(1-methyldisiloxanyl)methane)、双(1-甲基二硅氧基)丙烷(bis(1-methyldisiloxanyl)propane)、六甲氧基二硅氧烷(hexamethoxydisiloxane,HMDOS)、二甲基二甲氧基硅烷(dimethyldimethoxysilane,DM DMOS)或二甲氧基甲基乙烯基硅烷(dimethoxymethylvinylsilane,DMMVS)。
第三气体混合物一般包含一或多种成孔剂化合物。成孔剂为包含热不稳定基团的化合物。热不稳定基团可为环状基团,例如不饱和环状有机基团。“环状基团(cyclic group)”在此意指环状结构。环状结构最少含有三个原子。该些原子例如包括碳、氮、氧、氟和其组合。环状基团可包括一或多个单键、双键、三键和其组合。例如,环状基团包括一或多个芳香基(aromatics)、芳香羟基(aryl)、苯基、环己烷基(cyclohexanes,)、环己二烯基(cyclohexadienes)、环庚二烯基(cycloheptadienes)和其组合物。环状基团亦可为二环或三环。在一实施例中,环状基团连结至一直链或支链的官能基。直链或支链的官能基较佳包括烷基或乙烯基烷基,且具有1~20个碳原子。直链或支链的官能基还可包括氧原子,例如酮、醚和酯。成孔剂可包含环状碳氢化合物。一些可用的示例成孔剂包括二联环七二烯(BCHD,bicycle(2.2.1)hepta-2,5-diene)、α-萜品烯(alpha-terpinene,ATP)、乙烯基环己烷(vinylcyclohexane,VCH)、苯乙酸盐/酯(phenylacetate)、丁二烯(butadiene)、异戊二烯(isoprene)、环己二烯(cyclohexadiene)、1-甲基-4-(1-甲基乙基)-苯(异丙甲苯)(1-methyl-4-(1-methylethyl)-benzene(cymene))、3-蒈烯(3-carene)、葑酮(fenchone)、薴烯(limonene)、环氧环戊烷(cyclopentene oxide)、乙烯基-1,4-二恶英醚(Vinyl-1,4-dioxinyl ether)、乙烯基呋喃醚(vinyl furyl ether)、乙烯基-1,4-戴奥辛(Vinyl-1,4-dioxin)、乙烯基呋喃(vinyl furan)、糠酸甲酯(methyl furoate)、甲酸呋喃酯(furyl formate)、乙酸呋喃酯(furyl acetate)、糠醛(furaldehyde)、二呋喃酮(difuryl ketone)、二呋喃醚(difuryl ether)、二糠醚(difurfuryl ether)、呋喃(furan)和1,4-戴奥辛(1,4-dioxin)。
引进各种气体混合物的腔室可为等离子增强化学气相沉积(PECVD)腔室。利用固定式射频(RF)功率、脉冲式RF功率、高频RF功率、双频RF功率或其组合可产生用于沉积工艺的等离子。可用的PECVD腔室一例为
Figure BPA00001301163400121
腔室,其取自美国加州圣塔克拉拉市的应用材料公司。然而,其它腔室也可用来沉积低介电常数膜。腔室一般包含设有气体分配板的气体分配组件,例如喷头。RF功率施加至电极(如喷头)而产生等离子处理条件。基板通常置于基板支撑件上,其与气体分配板一同定义出反应区域。节流阀设在排放管在线来维持腔室压力。流速改变时,调整节流阀以控制腔室压力。
在上述工艺期间,基板的温度一般维持在约100℃至约400℃之间。腔室压力为约1托耳(Torr)至约20托耳,基板支撑件与腔室喷头之间之间距为约200密尔(mils)至约1500密尔。就300毫米(mm)的基板而言,功率密度为约0.14瓦/平方公分(W/cm2)至约2.8W/cm2,RF功率为约100W至约2000W。RF功率可以约0.01MHz至300MHz(如约13.56MHz)的频率提供。RF功率亦可以混频提供,例如约13.56MHz的高频和约350千赫(kHz)的低频。RF功率可循环或脉冲输入,藉以减少对基板的加热并提高沉积膜的孔隙度。RF功率也可为连续式或不连续式。
示例的紫外线(UV)后续处理条件包括腔室压力为约1托耳至约10托耳,基板支撑件温度介于约350℃至约500℃之间。利用诸如汞微波弧灯、脉冲式氙闪光灯或高效率UV发光二极管数组等任何UV源皆可提供UV幅射。UV幅射波长例如为约170nm至约400nm。UV腔室和处理条件的其它细节可参见共同让渡的美国专利申请案序号11/124,908、于2005年5月9日申请的申请案,其一并引用于此。用于UV后续处理的市售腔室一范例为取自应用材料公司的NanoCureTM腔室。
示例电子束条件包括腔室温度介于约200℃至约600℃,例如约350℃至约400℃。电子束能量为约0.5千电子伏特(KeV)至约30KeV。曝光剂量为约1微库仑/平方公分(μc/cm2)至约400微库仑/平方公分。腔室压力为约1毫托耳(mTorr)至约100毫托耳。腔室中的气体环境可由下列任何气体组成:氮气、氧气、氢气、氩气、氢气与氮气的混合物、氨气、氙气或这些气体的组合物。电子束电流为约0.15至约50毫安(mA)。电子束处理可进行约1至15分钟。一示例电子束腔室为EBkTM电子束腔室,其取自美国加州圣塔克拉拉市的应用材料公司,但也可采用任何其它电子束装置。
示例的热退火后续处理包括在腔室中以约200℃至约500℃的基板温度,退火处理该膜约2秒至约3小时,较佳约0.5至约2小时。诸如氦气、氢气、氮气或其混合物等非反应性气体可以约100至约10000sccm的流速引入腔室。腔室压力维持在约1毫托耳至约10托耳之间。较佳的基板间距为约300密尔至约800密尔。
应理解文中所述的有机硅化合物可用于不含成孔剂的气体混合物中,来化学气相沉积低介电常数膜。尽管相较于由包含其它有机硅化合物但不合成孔剂的混合物沉积而得的膜来说,由包含本文所述有机硅化合物且缺乏成孔剂的气体混合物沉积而得的膜具有较佳的机械性质,但一般仍加入成孔剂,以期提供较低的介电常数,例如2.4或更低。
虽然本发明已以较佳实施例揭露如上,然而在不脱离本发明基本范围的情况下,当可作出本发明的其它和进一步实施例,因此本发明的保护范围当视权利要求所界定者为准。

Claims (15)

1.一种处理基板的方法,其至少包含:
将该基板放到处理腔室内的支撑件上;
以第一流速提供第一有机硅前体至该腔室;
以第二流速提供第二有机硅前体至该腔室;
以第三流速提供碳氢化合物混合物至该腔室;
以第四流速提供氧化剂至该腔室;
改变该第二有机硅前体的该第二流速至更高流速;
改变该氧化剂的该流速至更高流速;以及
在处理该基板时的至少一部分时间内,引导该碳氢化合物混合物改道绕过(bypass)该腔室。
2.如权利要求1所述的方法,其中该第一有机硅前体的碳原子与硅原子比率小于该第二有机硅前体的碳原子与硅原子比率。
3.如权利要求1所述的方法,其中该碳氢化合物混合物包含一或多种具有环状基团的化合物。
4.如权利要求1所述的方法,其中改变该第二有机硅前体的该第二流速的步骤包括改变该第二有机硅前体的变化速度比改变该氧化剂的变化速度要快。
5.如权利要求1所述的方法,其中该第一有机硅前体、该第二有机硅前体、该碳氢化合物混合物和该氧化剂在该处理腔室中构成一反应混合物,在处理该基板期间,该反应混合物中的碳原子与硅原子比率从约3∶1提高到约20∶1。
6.一种处理基板的方法,其至少包含:
提供数个包含硅、碳、氧和氢的气体混合物至处理腔室,其中该些气体混合物的其中至少二气体混合物是硅源;
通过施加射频(RF)功率于该处理腔室来提供等离子处理条件;
使至少一部分的该些气体混合物反应而沉积一膜于该基板上;以及
在施加该RF功率期间,调整该处理腔室中的碳原子与硅原子比率,以调整该沉积膜的数个部分中的碳含量。
7.如权利要求6所述的方法,其中调整该处理腔室中的碳原子与硅原子比率的步骤包含引导一或多个气体混合物改道绕过该腔室。
8.如权利要求6所述的方法,其中该些气体混合物包含第一气体混合物,该第一气体混合物包含一或多种具有-Si-Cx-Si-键结的有机硅化合物。
9.如权利要求8所述的方法,其中该些气体混合物更包含一第二气体混合物,该第二气体混合物包含一或多种具有热不稳定基团的碳氢化合物。
10.如权利要求6所述的方法,更包含后续处理该基板,以于该沉积膜内产生多个细孔。
11.如权利要求9项所述的方法,其中调整该处理腔室中的碳原子与硅原子比率的步骤包含使该一或多种碳氢化合物改道绕过该处理腔室。
12.如权利要求6所述的方法,其中调整该沉积膜的碳含量的步骤包含沉积该膜的具有低碳含量的一类氧化物部分、平稳地增加该膜的一过渡部分中的碳含量,以及沉积该膜的具有最高碳含量的一类碳氧化物部分。
13.一种在置于处理腔室内的基板上沉积低介电常数(k)介电膜的方法,该方法包含:
提供第一气体混合物至该处理腔室,该第一气体混合物包含一或多种具-Si-Cx-Si-或-Si-O-Cx-O-Si-键结且碳原子与硅原子比率小于约6∶1的化合物;
伴随该第一气体混合物,提供一第二气体混合物至该处理腔室,该第二气体混合物包含一或多种具有-Si-Cx-Si-或-Si-O-Cx-O-Si-键结且碳原子与硅原子比率大于约8∶1的化合物;
提供包含一或多种碳氢化合物的一第三气体混合物至该处理腔室,该一或多种碳氢化合物的至少其中一化合物具有热不稳定基团;
提供包含多个氧源的一第四气体混合物至该处理腔室;
施加一射频(RF)功率,并且使至少一部分的该些气体混合物反应而沉积一膜于该基板上;
在施加该RF功率时,调整该些含碳的气体混合物中的一或多个气体混合物的量,藉以改变该膜中的碳沉积速度;以及
后续处理该沉积膜,以降低该膜的介电常数。
14.如权利要求13所述的方法,其中该一或多种具有-Si-Cx-Si-或-Si-O-Cx-O-Si-键结的化合物分别选自于由双(三乙氧硅基)甲烷(bis(triethoxysilyl)methane,C13H32O6Si2)、四甲基-1,3-二硅环丁烷(tetramethyl-1,3-disilacyclobutane,C6H16Si2)、四甲基-2,5-二硅-1-氧杂环戊烷(tetramethyl-2,5-disila-1-oxacyclopentane)、四甲基二硅呋喃(tetramethyldisilafuran,C6H16OSi2)和双(三甲基硅氧)乙烷(bis(trimethylsiloxy)ethane,C8H22O2Si2)组成的群组中。
15.如权利要求13所述的方法,其中后续处理该沉积膜的步骤将于该膜的具较高碳含量的部分内产生多个细孔。
CN2009801283109A 2008-07-15 2009-06-30 在从多液态前体沉积的多孔低k薄膜和阻障层间提升黏着性的方法 Pending CN102099897A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/173,659 US20100015816A1 (en) 2008-07-15 2008-07-15 Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US12/173,659 2008-07-15
PCT/US2009/049216 WO2010008930A2 (en) 2008-07-15 2009-06-30 Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors

Publications (1)

Publication Number Publication Date
CN102099897A true CN102099897A (zh) 2011-06-15

Family

ID=41530671

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801283109A Pending CN102099897A (zh) 2008-07-15 2009-06-30 在从多液态前体沉积的多孔低k薄膜和阻障层间提升黏着性的方法

Country Status (6)

Country Link
US (1) US20100015816A1 (zh)
JP (1) JP2011528508A (zh)
KR (1) KR20110039556A (zh)
CN (1) CN102099897A (zh)
TW (1) TW201025425A (zh)
WO (1) WO2010008930A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110021517A (zh) * 2017-11-28 2019-07-16 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110241200A1 (en) * 2010-04-05 2011-10-06 International Business Machines Corporation Ultra low dielectric constant material with enhanced mechanical properties
KR102136769B1 (ko) * 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
SG11202105522QA (en) * 2018-11-27 2021-06-29 Versum Materials Us Llc 1-methyl-1-iso-propoxy-silacycloalkanes and dense organosilica films made therefrom
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1367205A (zh) * 2001-01-17 2002-09-04 气体产品与化学公司 用于低介电常数层间介质薄膜的有机硅前体
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20060160374A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20070111543A1 (en) * 2005-11-15 2007-05-17 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH043926A (ja) * 1990-04-20 1992-01-08 Sony Corp 半導体装置の製造方法
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP2001035845A (ja) * 1999-07-21 2001-02-09 Nec Corp 半導体装置の製造方法及びそれに使用されるプラズマ絶縁膜の成膜装置
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6518646B1 (en) * 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7968471B2 (en) * 2003-11-28 2011-06-28 Nec Corporation Porous insulating film, method for producing the same, and semiconductor device using the same
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US20090130412A1 (en) * 2004-09-22 2009-05-21 Benjamin David Hatton Method of transformation of bridging organic groups in organosilica materials
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
JP2006339506A (ja) * 2005-06-03 2006-12-14 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置の製造方法
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
JP2007258403A (ja) * 2006-03-23 2007-10-04 United Microelectronics Corp 多孔性低誘電率薄膜及びその製作方法
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US7799377B2 (en) * 2006-12-07 2010-09-21 Electronics And Telecommunications Research Institute Organic/inorganic thin film deposition method
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1367205A (zh) * 2001-01-17 2002-09-04 气体产品与化学公司 用于低介电常数层间介质薄膜的有机硅前体
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20060160374A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20070111543A1 (en) * 2005-11-15 2007-05-17 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110021517A (zh) * 2017-11-28 2019-07-16 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN110021517B (zh) * 2017-11-28 2022-02-18 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11640978B2 (en) 2017-11-28 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby

Also Published As

Publication number Publication date
WO2010008930A3 (en) 2010-04-08
JP2011528508A (ja) 2011-11-17
TW201025425A (en) 2010-07-01
KR20110039556A (ko) 2011-04-19
US20100015816A1 (en) 2010-01-21
WO2010008930A2 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
CN102113099B (zh) 一种沉积低介电常数膜层的方法
JP4842251B2 (ja) 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
CN101743247B (zh) 利用等离子体增强化学气相沉积来制造高机械性能的极低k膜的硅前驱物
KR100730844B1 (ko) 유전 상수가 극히 낮은 플라즈마 강화 cvd 막
JP4723565B2 (ja) 低誘電率ナノ細孔性膜の多段階硬化
CN102099897A (zh) 在从多液态前体沉积的多孔低k薄膜和阻障层间提升黏着性的方法
KR100954258B1 (ko) 실리콘 및 유기 전구체를 이용하여 pecvd 공정에서의가스상 반응을 감소시켜 결함이 없는 초기 층을 증착하는방법
US20120121823A1 (en) Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
US20020160626A1 (en) Siloxan polymer film on semiconductor substrate
US7138158B2 (en) Forming a dielectric layer using a hydrocarbon-containing precursor
JP2002198366A5 (zh)
CN103238206A (zh) 原位低介电常数加盖以改良整合损坏抗性
SG146567A1 (en) Improved gap-fill depositions in the formation of silicon containing dielectric materials
JP5544167B2 (ja) 低k誘電膜の二層キャッピング
JP2010504648A5 (zh)
JP4628257B2 (ja) 多孔質膜の形成方法
JP2004228581A (ja) 半導体基板上の絶縁膜及びその製造方法
JP2003297821A (ja) 半導体基板上のシロキサン重合体膜及びその製造方法
JP2017057459A (ja) バリアフィルムの製造方法及びプラズマcvd装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110615