KR20070054201A - 점성 전구체를 이용한 화학기상증착을 통해 기능적 구배형유전체 필름을 증착하기 위한 방법 - Google Patents

점성 전구체를 이용한 화학기상증착을 통해 기능적 구배형유전체 필름을 증착하기 위한 방법 Download PDF

Info

Publication number
KR20070054201A
KR20070054201A KR1020077005803A KR20077005803A KR20070054201A KR 20070054201 A KR20070054201 A KR 20070054201A KR 1020077005803 A KR1020077005803 A KR 1020077005803A KR 20077005803 A KR20077005803 A KR 20077005803A KR 20070054201 A KR20070054201 A KR 20070054201A
Authority
KR
South Korea
Prior art keywords
flow rate
silicon
containing gas
gas
carbon containing
Prior art date
Application number
KR1020077005803A
Other languages
English (en)
Inventor
데니쉬 파드하이
소현 박
가네쉬 바라수브라마니안
주앙 카를로 로샤-알바레즈
리-쿤 시아
데렉 알. 위티
하이쳄 엠'사아드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070054201A publication Critical patent/KR20070054201A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

하부 층상에 구배형 유전체 층을 형성하는 방법으로서, 실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스의 혼합물을 블록킹 플레이트 및 페이스플레이트를 포함하는 샤워헤드를 통해 유동시켜 구배형 유전체 층의 산화물 부화 부분을 형성하는 단계로서, 상기 실리콘-탄소 함유 가스가 초기 유량을 가지는, 가스 혼합물 유동 단계; 상기 실리콘-탄소 함유 가스를 0.5초 이상 동안 제 1 중간 유량으로 유동시키는 단계로서, 상기 제 1 중간 유량이 상기 초기 유량 보다 큰, 실리콘-탄소 함유 가스 유동 단계; 및 상기 제 1 중간 유량 보다 큰 유량으로 상기 실리콘-탄소 함유 가스를 유동시켜 구배형 유전체 층의 탄소 부화 부분을 형성하는 단계를 포함한다.

Description

점성 전구체를 이용한 화학기상증착을 통해 기능적 구배형 유전체 필름을 증착하기 위한 방법{METHOD TO DEPOSIT FUNCTIONALLY GRADED DIELECTRIC FILMS VIA CHEMICAL VAPOR DEPOSITION USING VISCOUS PRECURSORS}
종래의 집적회로("IC") 소자 제조 방법은 알루미늄과 같은 금속 층 내로 갭(gap) 패턴을 에칭하는 것을 포함한다. 이어서 갭을 이산화 실리콘과 같은 유전체 물질로 충진한다. 보다 최근에, 전류에 대한 낮은 저항의 이점을 갖기 위해, IC 소자 제조업자들은 알루미늄으로부터 구리로 그리고 보다 전도성이 큰 다른 금속으로 전환하고 있다. 구리의 경우에, 알루미늄 보다 높은 금속의 내에칭성(resistance to etching)으로 인해 다마신(damascene) 공정으로의 전환을 촉진하며, 상기 다마신 공정중에 유전체 층들이 증착되어 통합된 스택(integrated stack)을 형성하며, 상기 스택이 에칭되어 후속하는 금속 갭-충진을 위한 갭을 생성한다.
다마신 구조물내에서 금속 층들을 격리하는 유전체 층들은 종종 금속간 유전체(intermetal dielectric; IMD) 층이라고 지칭되기도 한다. IMD 물질의 커패시턴스(C) 및 금속 층들의 저항(R)은 IC 회로의 RC 상수의 주요 성분이 된다. RC 상수가 감소됨에 따라, 회로 속도가 증대되고, 낮은 커패시턴스(즉, 낮은 유전 상수"k")를 가지는 IMD 층이 구리와 같은 금속의 낮은 저항을 보완한다.
통상적으로, IMD 층은 인접 유전체 층들내로 금속이 확산하는 것을 방지하기 위한 배리어 층을 포함한다. 배리어 층에 사용하기 위한 하나의 물질로는 질화 실리콘(SixNy)가 있으며, 그 질화 실리콘은 또한 다마신 구조물의 형성을 위한 에칭 정지 물질로서 일반적으로 이용된다. 불행하게도, 질화 실리콘은 비교적 큰 유전 상수(SiO2에 대해 k=4.0 내지 4.2인 것에 대비하여, Si3N4에 대해 k=7.0 내지 7.5 이다)를 가지며, 이는 유전체 층의 전체 k 값을 증대시킨다.
보다 최근에, 작은 유전 상수를 가지는 물질로부터 배리어 층이 개발되었다. 질화 실리콘 보다 작은 유전상수를 가지는 실리콘-탄소계 배리어 층(예를 들어, 실리콘 옥시카바이드(SiOCH) 배리어 층)이 개발되었다. 그러한 층들 중 하나의 예를 들면, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.가 개발한 BLOKTM(Barrier Low K)가 있다. 이러한 저(low)-k 배리어 층은, 예를 들어, 트리메틸실란(TMS)을 이용한 플라즈마 화학기상증착에 의해 증착될 수 있다.
실리콘 옥시카바이드 및 기타 실리콘-탄소계 저-k 배리어 층이 유전 상수를 개선하였지만, 그들은 IMD 층의 벌크(bulk) 유전체 부분을 구성하는 저-k 실리콘-탄소 물질에 대한 약한 부착력을 종종 나타낸다. 이산화 실리콘(SiO2)와 같은 산화물 필름은 실리콘-탄소계 저-k 배리어 층에 보다 양호하게 부착되지만, 보다 큰 k 값을 가지기 때문에 IMD 층의 전체적인 유전 상수를 증대시킨다. 따라서, 층의 벌크 유전체 부분과 배리어 층 간에 양호한 부착력을 가지는 저-k IMD 층을 형성하는 방법이 요구되고 있다.
본 발명의 실시예들은 하부 층상에 구배형(graded) 유전체 층을 형성하는 방법을 포함한다. 상기 방법은 실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스의 혼합물을 블록킹 플레이트(blocking plate) 및 페이스플레이트(faceplate)를 포함하는 샤워헤드를 통해 유동시켜 구배형 유전체 층의 산화물 부화 부분(oxide rich portion)을 형성하는 단계를 포함하며, 상기 실리콘-탄소 함유 가스는 초기 유량(initial flow rate)을 가진다. 상기 방법은 또한 약 0.5초 이상 동안 실리콘-탄소 함유 가스를 제 1 중간 유량으로 유동시키는 단계를 포함하며, 상기 제 1 중간 유량은 상기 초기 유량 보다 크다. 상기 방법은 또한 상기 제 1 중간 유량 보다 큰 가장 빠른 유량으로 상기 실리콘-탄소 함유 가스를 유동시켜 구배형 유전체 층의 탄소 부화 부분을 형성하는 단계를 포함할 수 있다.
본 발명의 다른 실시예는 하부 층상에 구배형 유전체 층을 형성하는 방법을 포함하며, 상기 방법은 실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스의 혼합물을 블록킹 플레이트 및 페이스플레이트를 포함하는 샤워헤드를 통해 유동시켜 구배형 유전체 층의 산화물 부화 부분을 형성하는 단계를 포함하며, 상기 실리콘-탄소 함유 가스는 초기 유량을 가진다. 상기 방법은 또한 실리콘-탄소 함유 가스를 가장 빠른 유량까지 증대시켜 구배형 유전체 층의 탄소 부화 부분을 형성하는 단계를 포함하며, 상기 캐리어 가스는 상기 실리콘-탄소 함유 가스가 가장 빠른 유량에 도달할 때까지 일정하게 유지되는 캐리어 가스 유량을 가진다.
본 발명의 추가적인 실시예는 하부 층에 구배형 유전체 층을 형성하는 시스템을 포함한다. 상기 시스템은 블록킹 플레이트 및 페이스플레이트를 포함하는 샤워헤드를 포함하며, 상기 샤워헤드는 가스 공급 유입구와 결합되고, 상기 가스 공급 유입구를 통해서 실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스를 포함하는 프로세스 가스가 상기 샤워헤드내로 도입된다. 상기 시스템은 또한 상기 샤워헤드로 가는 실리콘-탄소 함유 가스의 유량을 제어하기 위한 액체 유량계를 포함하며, 상기 액체 유량계는 구배형 유전체 층의 산소 부화 부분의 형성 중에 상기 실리콘-탄소 함유 가스를 초기 유량으로 유동시키고, 이어서 초기 유량으로부터 중간 유량으로 상기 실리콘-탄소 함유 가스를 증대시키고, 약 0.5초 이상 동안 상기 중간 유량을 유지하며, 이어서, 상기 중간 유량으로부터 가장 빠른 유량으로 상기 실리콘-탄소 함유 가스 유량을 증대시켜 구배형 유전체 층의 탄소 부화 부분을 형성하도록 프로그래밍된다.
추가적인 특징들이 이하의 설명에서 부분적으로 설명되고, 부분적으로는 이하의 명세서로부터 소위 당업자에게 분명하게 인식될 수 있을 것이며, 또는 본 발명의 실시로부터 학습될 수 있을 것이다. 본 발명의 특징들 및 이점들은 특히 특허청구범위에 기재된 방법, 수단 및 조합들에 의해 구현되고 획득될 수 있을 것이다.
도 1a 및 도 1b는 본 발명의 방법의 실시예에 따른 금속 충진 트렌치에 형성된 기능적 구배형 유전체 층 및 배리어 층을 도시한 단면도이다.
도 2는 본 발명의 방법의 실시예에 따라 형성된 기능적 구배형 유전체 층을 포함하는 집적회로 소자의 개략적인 단면도이다.
도 3은 본 발명의 방법의 실시예에 따른 구배형 유전체 층 형성 단계를 도시한 흐름도이다.
도 4는 본 발명의 방법의 추가적인 실시예에 따른 구배형 유전체 층 형성 단계를 도시한 흐름도이다.
도 5는 본 발명의 방법의 실시예에 따른 증착 및 종래의 유전체 층 증착의 경우에, 실리콘-탄소 함유 가스의 유량을 시간 함수로 도시한 그래프이다.
도 6은 본 발명의 실시예에서 이용될 수 있는 샤워헤드의 단면도이다.
도 7은 본 발명의 방법 및 시스템의 실시예에서 이용되는 예시적인 플라즈마 CVD 증착 챔버의 개략적인 단면도이다.
본 발명의 실시예는 저-k의 기능적 구배형 필름 층을 형성하는 방법을 포함하며, 상기 기능적 구배형 필름 층은 하부 층에 보다 양호한 부착을 위한 산화물 부화 부분(예를 들어, 실리콘 옥시카바이드 배리어 층) 및 저-k 값을 가지는 탄소-부화 부분(예를 들어, 벌크 유전체)을 포함한다. 상기 방법은 실리콘-탄소 함유 가스및 산소 함유 가스의 혼합물을 높은 산소 대 실리콘 유량 비율에 맞는 초기 유량으로 유동시켜 기능적 구배형 유전체 층의 얇은(예를 들어, 약 10Å 내지 약 80Å 두께) 산화물 부화 부분을 증착하는 단계를 포함한다. 그 후에, 실리콘-탄소 함유 가스의 유량을 증대시켜 (그리고 산소 함유 가스가 감소될 것이다) 기능적 구배형 유전체 층의 벌크 유전체 부분내의 실리콘-탄소 농도를 높인다. 산소-부화 부분이 상대적으로 작기 때문에, 층의 전체 k 값에 적은 영향을 미친다.
본 발명에서 이용되는 실리콘-탄소 가스는 주로 옥타메틸시클로테트라실록산("OMCTS")[((CH3)2SiO)4]과 같은 유기-실리콘 화합물이다. OMCTS와 같은 화합물들은 상온에서 점성을 가지는 액체이고, 가열되었을 때(예를 들어, 약 350℃) 증기를 생성하며, 상기 증기는 캐리어 가스에 의해서 기능적 구배형 유전체 층이 형성되는 증착 챔버로 이송된다.
이러한 상온의 유기-실리콘 화합물의 점성 액상(liquid phase) 특성은 가스 샤워헤드를 통해서 그리고 증착 챔버내로 증기를 균일하게 분배하여 공급하는데 있어서 문제를 일으킨다. 증기의 관성 효과로 인해 샤워헤드의 중심 부근에 보다 집중되고 엣지(edge)로 갈수록 덜 집중된다. 결과적으로, 페이스플레이트를 통해 증착 챔버내로 공급되는 실리콘-탄소 증기를 보다 균일하게 분배하기 위해, 블록커 플레이트(배플(baffle)로도 알려져 있다)가 샤워헤드 가스 공급 유입구와 페이스플레이트 사이에 삽입된다.
그러나, 블록커 플레이트는 또한 그 플레이트에 걸쳐 가스 혼합물의 압력 강하를 유도할 수 있으며, 이는 블록커 플레이트 및 페이스플레이트를 통한 통로 주변에서 실리콘-탄소의 응축을 초래할 수 있다. 이러한 통로에서의 응축 및 반응 챔버내에서의 유기-실리콘 입자의 형성은 기판상에 낙하되는 필름내(infilm) 혼입물(adder)의 개체수를 증대시킬 수 있다(예를 들어, 약 10,000 이상의 혼입물로 증대시킬 수 있다). 이러한 문제는 혼입물이 기판상에 균일하게 분포되지 않고 샤워헤드 페이스플레이트의 중심 아래쪽 영역에서 집중되는 경향을 보인다는 사실에 의 해 보다 더 악화될 수 있다.
본 발명의 실시예들은 여러가지 기술을 이용하여 실리콘-탄소 증기의 응축 및 필름내 혼입물을 감소시키며, 상기 여러 기술은 실리콘-탄소 함유 가스의 유량 변화를 조정하는 것, 및 실리콘-탄소 함유 가스의 유량 증가가 일어날 때까지 높은 캐리어 가스 유량을 유지하는 것을 포함한다. 단독으로 이동되거나 조합하여 이용되는 이러한 기술들 및 기타 기술들은 필름내 혼입물의 개체수를 수만개로부터 약 수십개 이하까지 줄일 수 있다. 이러한 기술들의 실시예를 보다 구체적으로 설명하기에 앞서서, 기능적 구배형 유전체 층을 포함하는 몇가지 단순화된 IC 구조물에 대해 설명한다.
예시적인 집적회로 구조물
도 1a는 본 발명의 실시예에 따라 기능적 구배형 유전체 층 및 배리어 층이 상부에 형성되는 층들의 예를 도시한다. 이 층들은 전도성 물질(예를 들어, 구리)로 충진된 트렌치(106)를 구비하는 유전체 층(104)을 포함한다. 유전체 층(104) 및 트렌치(106)는 하부 지지 층(102)상에 형성될 것이다. 지지 층(102)은 소오스, 드레인 또는 게이트 전극의 상부에 형성된 살리사이드(salicide) 층, 실리콘 기판, 금속 필름 층(예를 들어, 구리 또는 알루미늄 층), 또는 유전체 층(예를 들어, IMD 층) 등이 될 것이다.
도 1b를 참조하면, 배리어 층(108) 및 기능적 구배형 유전체 층(110)이 도 1a의 하부 층상에 형성된 것으로 도시되어 있다. 배리어 층(108)은 통상적인 산화물계 및 질화물계 배리어 층(예를 들어, 질화 실리콘) 보다 k 값이 낮은 실리콘-탄 소계 물질(예를 들어, 실리콘 옥시카바이드)로 형성될 수 있다. 실리콘-탄소계 물질로부터 형성된 배리어 층(108)의 k 값은 층내에서 탄소 대 실리콘 원자의 비율에 따라 달라진다.
탄소 대 실리콘의 원자비(C:Si 비율)가 약 55:45 내지 약 65:35인 배리어 층(108)은 저-k 특정을 가지는 층을 일반적으로 제공한다. 층내의 C:Si 비율이 너부 낮으면, 유전상수가 너무 클 것이다(예를 들어, 약 50:50의 C:Si 비율의 경우에 k=7.0). 또한, 층내의 C:Si 비율이 감소함에 따라 층의 누설 전류가 증대되는 경향이 있다. 층내의 C:Si 비율은 다시 층의 형성에 이용된 가스 혼합물의 C:Si 원자비에 따라 달라진다.
일반적으로, 가스 혼합물내의 C:Si 비율은 1:1 보다 크다(예를 들어, 약 3:1 내지 약 8:1). 가스 혼합물의 주어진 C:Si 비율에서, 기판 온도가 높을수록 증착된 층내의 C:Si 비율을 낮아진다. 높은 기판 온도에서 배리어 층(108)을 증착하는 경우에, 가스 혼합물내의 비교적 높은 C:Si 비율이 바람직할 것이다. 본 발명의 방법에 따라 기능적 구배형 유전체 층이 상부에 형성되는 예시적인 배리어 층의 증착이 본원의 출원인에게 양도되고 본 명세서에서 참조하고 있으며 2002년 7월 12일자로 출원된 "BARRIER LAYER DEPOSITION USING HDP-CVD"라는 명칭의 미국 특허 제 6,713,390 호에 기재되어 있다.
기능적 구배형 유전체("FGD") 층(110)이 이하에서 설명하는 본 발명의 방법 실시예에 따라 하부의 배리어 층(108) 상에 형성될 것이다. FGD 층(110)은 하부의 배리어 층(108)과 접촉하는 산화물-부화 부분 및 상기 배리어 층(108)으로부터 보 다 멀리 떨어진 탄소-부화 부분(즉, 벌크 유전체 부분)을 포함할 것이다. 상기 층의 산화물-부화 부분은 실리콘(Si), 산소(O) 및 탄소(C)를 포함할 것이고, 이때 실리콘 대 산소의 비율이 평균 보다 낮음으로써 층내에 존재하는 산소의 평균 농도 보다 높다는 것을 반영한다. FGD 층(110)의 산화물-부화 부분은 약 10Å 내지 약 100Å 두께(예를 들어, 약 70Å 내지 80Å 두께)를 가질 것이다.
FGD 층(110)층의 탄소-부화된, 벌크 유전체 부분은 배리어 층(108)과 실질적으로 유사한 C:Si 비율을 가질 것이다(예를 들어, 약 55:45 내지 약 65:35). 벌크 유전체 부분은 약 5000Å 내지 약 10,000Å의 두께를 가질 것이고, 전체 k 값이 약 3.5 이하(예를 들어, 약 3.3 내지 약 3.0)인 FGD 층을 제공할 것이다.
도 2는 본 발명의 실시예에 따라 형성된 기능적 구배형 금속간 유전체(FGIMD) 층(240, 242, 244)을 포함하는 집적회로(200)의 개략적인 단면도이다. 집적회로(200)는 NMOS 및 PMOS 트랜지스터(203 및 206)를 포함하며, 상기 트랜지스터들은 실리콘의 국부적인 산화(LOCOS) 또는 기타 기술에 의해 형성된 필드 산화물 영역(220)에 의해 서로 분리되고 전기적으로 절연된다. 그 대신에, 트랜지스터(203 및 206)들이 모두 NMOS이거나 모두 PMOS인 경우에, 트랜지스터(203 및 206)들은 얕은 트렌치 분리(STI) 기술(도시 안 됨)에 의해 서로 분리되고 전기적으로 절연될 수 있다. 각 트랜지스터(203 및 206)는 소오스 영역(212), 드레인 영역(215) 및 게이트 영역(218)을 포함한다.
프리메탈 유전체(PMD) 층(221)이 트랜지스터(203 및 206)를 금속 층(240)으로부터 분리하고, 상기 금속 층(240)과 트랜지스터들 사이의 연결은 콘택(224)에 의해 이루어진다. 금속 층(240)은 집적회로(200)에 포함된 4 개의 금속 층(240, 242, 244 및 246) 중 하나이다. 각 금속 층(240, 242, 244 및 246)은 각각의 배리어 층 및 FGIMD 층(227, 228 또는 229)에 의해 인접 금속 층으로부터 분리된다. 인접 금속 층들은 비아(226)에 의해 선택된 개구부에서 연결된다. 금속 층(246)상에 평탄화된 패시베이션 층(230)이 증착된다.
개략적인 집적회로(200)를 설명을 위한 것임을 이해하여야 한다. 소위 당업자는 마이크로프로세서, 주문형 반도체(ASICs), 메모리 소자, 등과 같은 다른 집적회로의 제조와 관련하여 본 발명을 이용할 수 있을 것이다. 또한, 본 발명은 PMOS, NMOS, CMOS, 바이폴라(bipolar), 또는 BiCMOS, 등의 소자에도 적용될 수 있을 것이다.
구배형 유전체 형성을 위한 예시적인 방법
도 3을 참조하면, 본 발명의 방법의 실시예에 따른 구배형 유전체 층 형성 방법의 단계를 도시한 흐름도가 도시되어 있다. 상기 방법은 하부 층(예를 들어, 저-k 배리어 층)상에 구배형 유전체 층(304)의 산화물-부화 부분을 증착하기 위해 가스 샤워헤드를 통해 초기 유량(302)으로 가스 혼합물을 유동시키는 단계에 의해 시작될 수 있다. 가스 혼합물은 실리콘-탄소 함유 가스(예를 들어, OMCTS), 산소 함유 가스(예를 들어, O2) 및 캐리어 가스(예를 들어, He)를 포함할 수 있다.
실리콘 함유 가스는 상온에서 점성 액체일 것이고, 그 가스 증기의 유량은 분단 밀리그램(mgm)으로 측정된다. 실리콘-탄소 함유 가스가 OMCTS일 때, 구배형 유전체 층의 산화물-부화 부분의 증착 중에 그 실리콘-탄소 함유 가스의 초기 유량은 예를 들어 약 4800 sccm의 유량으로 유동하는 He 캐리어 가스 및 약 500sccm으로 유동하는 O2내에서 500mgm 이 될 것이다.
구배형 유전체 층의 산화물-부화 부분이 형성되었을 때, 탄소대 실리콘 비율이 보다 높은 유전체 층 부분(즉, 구배형 유전체 층의 탄소-부화 부분)을 형성하기 위해서, 가스 혼합물 성분의 상대적인 양이 변화된다. 이러한 변화는 탄소-실리콘 가스의 유량을 제 1중간 유량으로 증대시키는 것(예를 들어, OMCTS의 유량을 약 500mgm으로부터 약 1000mgm으로 증대시킨다), 그리고 그 유량에서 약 0.5초 이상(예를 들어 약 0.5초 내지 약 2.5초) 동안 유지시키는 것을 포함한다.
OMCTS와 같은 점성 실리콘-탄소 전구체의 유량 증가를 하나 이상의 중간 단계들로 분산시킴으로써, 샤워헤드의 통로내에서 전구체가 응축될 가능성 및/또는 하부 층상에 부착되는 에어로졸 액적(aerosol droplets)이 형성될 가능성이 감소된다. 도 3에 도시된 실시예에서, 최종의 가장 빠른 유량까지 증가되기에 앞서서, 실리콘-탄소 함유 가스가 0.5초 이상 동안 제 2중간 유량(308)으로 증대된다(예를 들어, 약 1000mgm으로부터 약 1750mgm까지 증대된다). 다른 실시예에서, 가장 빠른 유량에 도달하기 전에 추가적인 중간 유량(도시 안 됨)에서 소정 시간 동안 실리콘-탄소 함유 가스 유동을 중단시킨다.
탄소-실리콘 가스의 유량이 조정된 방식으로 증대되는 동안에, 산소 함유 가스 및/또는 캐리어 가스의 유량이 연속적으로 감소될 수 있다. 예를 들어, OMCTS 유량이 약 500mgm으로부터 약 1000mgm으로 증대됨에 따라, O2 유량은 약 500sccm으로부터 약 160sccm으로 감소될 수 있고, He 가스 유량은 약 4800sccm으로부터 약 1000sccm으로 감소될 수 있다. 실리콘-탄소 가스 이외의 하나 이상의 가스의 유량이 어떠한 중간 단계에서의 중단 없이 초기 유량으로부터 최종 유량으로 연속적으로 감소될 수 있다. 예를 들어, 산화물-부화 층의 증착에 이어서, 증착의 나머지에 대한 최종 유량에 도달할 때까지 이러한 가스들의 유량이 일정하고 연속적인 비율로(중간의 안정 상태(plateau) 없이) 감소될 수 있을 것이다.
실리콘-탄소 가스가 중간 유량(306 및 308)을 통과한 후에, 최종의 가장 빠른 유량(310)으로 증대된다. 예를 들어, OMCTS 유량은 제 2중간 유량(예를 들어, 약 1750mgm)으로부터 가장 빠른 유량(예를 들어, 약 2500mgm)으로 증대될 수 있으며, 이때 구배형 유전체 층(312)의 탄소-부화 부분의 증착 중에 가장 빠른 유량은 45초 이상 동안 유지될 수 있을 것이다.
도 4는 본 발명의 방법에 따라 구배형 유전체 층을 형성하는 다른 실시예를 도시한 흐름도이다. 이러한 실시예에서, 실리콘-탄소 함유 가스의 유량은 초기 유량으로부터 최종의 가장 빠른 유량까지 중간 단계에서의 중단 없이 계속적으로 증대된다. 그러나, 실리콘-탄소 함유 가스가 가장 빠른 유량에 접근(또는 도달)할 때까지 캐리어 가스의 유량을 높은 초기 유량으로 유지함으로써, 실리콘-탄소 함유 가스의 응축 및/또는 에어로졸화가 방지된다.
전술한 실시예와 유사하게, 상기 방법은 하부 층(예를 들어, 저-k 배리어 층)상에 구배형 유전체 층(404)의 산화물-부화 부분을 증착하기 위해 가스 샤워헤드를 통해 초기 유량(402)으로 가스 혼합물을 유동시키는 단계에 의해 시작될 수 있다. 가스 혼합물은 실리콘-탄소 함유 가스(예를 들어, OMCTS), 산소 함유 가스(예를 들어, O2) 및 캐리어 가스(예를 들어, He)를 포함할 수 있다.
상기 층의 산화물-부화 부분이 형성된 후에, 단계(406)에서 실리콘-탄소 함유 가스가 초기 유량(예를 들어, 약 500mgm)으로부터 가장 빠른 유량(예를 들어, 약 2500mgm)까지 중간 유량에서의 멈춤 없이 증대된다. 예를 들어, 초기 유량으로부터 최종 유량까지 약 1.5초내에 연속적으로 유량이 증가되도록, 실리콘-탄소 함유 가스 유량의 증가는 약 1350mgm/초가 될 수 있다.
실리콘-탄소 함유 가스가 가장 빠른 유량에 접근(예를 들어, 가장 빠른 유량의 약 500mgm 이내)하거나 도달하면, 구배형 유전체 층(410)의 탄소-부화 부분 증착을 위해 캐리어 가스의 유량이 최종 유량(408)으로 감소될 것이다. 예를 들어, OMCTS 유량이 약 500mgm으로부터 약 2500mgm까지 증가하는 동안 헬륨(He)을 포함하는 캐리어 가스가 약 4800sccm의 초기 He 유량을 유지할 것이다. OMCTS 유량이 가장 빠른 유량에 접근(예를 들어, 약 2000mgm)하거나 도달(예를 들어, 약 2500mgm)하였을 때, He 유량은 초기 캐리어 가스 유량으로부터 최종 유량(예를 들어, 약 1000sccm)으로 감소된다.
일부 실시예에서, 실리콘-탄소 함유 가스가 가장 빠른 유량으로 증대되는 동안에 산소 함유 가스가 감소될 수 있다. 다른 실시예에서, 실리콘 함유 가스가 가 장 빠른 유량에 접근 또는 도달할 때까지, 산소 함유 가스는 캐리어 가스와 함께 초기 유량을 유지할 것이다. 예를 들어, OMCTS 유량이 500mgm으로부터 2500mgm까지 증대되는 동안에 O2 유량은 약 500sccm으로부터 약 160sccm으로 감소될 것이다.
도 5는 본 발명의 실시예에 따른 방법(실선) 및 종래 방법(점선)을 이용하여 유전체 증착 과정에 걸친 실리콘-함유 가스의 유량을 도시한 그래프이다. 종래의 방법은 실리콘-탄소 함유 가스의 유량을 500mgm의 초기 유량으로부터 2500mgm의 가장 빠른 유량까지 가능한 한 짧은 시간(예를 들어, 약 0.2초)내에 증대시킨다. 실리콘-탄소 가스가 증대되는 동안에, 캐리어 가스(도시 안 됨)가 급격히 감소되며, 하부 층(예를 들어, 배리어 층)상에 다량(예를 들어, 약 1000개 이상)의 필름내 혼입물이 형성될 가능성이 높다.
이와 대조적으로, 본 발명에 따른 실리콘-탄소 함유 가스의 유량 증가를 도시한 그래프(실선)는 가스가 각각 약 2초간 지속되는 2 개의 중간 유량 안정상태를 거친다는 것을 보여준다. 전술한 바와 같이, 중단 단계들이 샤워헤드의 통로에 전구체가 응축될 가능성 및/또는 하부 층상에 증착되는 에어로졸 액적을 형성할 가능성을 줄인다.
예시적인 샤워헤드 및 증착 시스템
도 6은 본 발명의 실시예에서 이용될 수 있는 샤워헤드(600)의 구조를 단면 도시한다. 다수의 관통-홀(604)을 구비하는 블록커 플레이트(602)가 샤워헤드(600)의 페이스플레이트(606)와 가스 공급 유입구(608)의 연결 부분 사이에 배치 된다. 블록커 플레이트(602)에 의해, 가스 공급 유입구(608)로부터 공급되는 가스가 블록커 플레이트(602) 상류측의 배플 공간(610)내에 일시적으로 저장된다.
따라서, 가스 공급 유입구(608)로부터 공급되는 가스의 동적 압력의 불규칙성이 감소된다. 결과적으로, 관통-홀(604)들 내에서 유동하는 가스의 유동량이 거의 동일해진다. 결과적인 가스가 블록커 플레이트(602)의 하류측의 샤워 전실-챔버(pre-chamber; 612)로 공급된다.
따라서, 전실-챔버(612)내의 압력 불균일성이 감소된다. 결과적으로, 페이스플레이트 관통-홀(614)을 통해 페이스플레이트(606)로부터 퍼져나가는 가스의 유동량이 일정해진다. 가스가 하부 기판(도시 안 됨)의 전체 표면으로 균일하게 공급된다.
관통-홀(604)내에서 유동하는 가스의 유동량을 동일하게 하기 위해, 관통-홀(604)의 홀 직경을 감소시켜 관통-홀(604)의 유동 압력 손실을 크게하는 것이 효과적이다. 관통-홀(604)의 홀 직경이 감소되면, 배플 공간(610)내의 압력이 높아지고 그에 따라 가스의 동적 압력으로 인한 압력 불균일성이 감소된다. 또한, 블록커 플레이트(602)의 상부 부분의 압력과 하부 부분의 압력(즉, 배플 공간(610)내의 압력과 샤워 전실-챔버(612)내의 압력) 사이의 편차가 커진다. 따라서, 블록커 플레이트(602)의 각각의 위치에서의 압력이 거의 같아진다. 결과적으로, 관통-홀(604)내에서 유동하는 가스의 유동량이 거의 같아진다.
전술한 바와 같이, OMCTS와 같은 점성 전구체의 유량의 급격한 증가는 관통-홀(604) 및/또는 페이스플레이트 관통-홀(614)내에서의 가스 증기 응축을 초래할 수 있으며, 이는 다시 하부 기판에 대한 필름내 혼입물을 생성할 수 있다. 또한, 증기가 샤워헤드(600)를 빠져나감에 따라 시작되는 증기의 응축은 기판상으로 낙하되는 에어로졸 입자를 형성하는 핵생성 사이트(nucleation sites)가 될 수 있으며, 필름내 혼입물의 다른 소오스(source)를 생성할 수도 있다.
도 7은 본 발명의 방법 및 시스템의 실시예와 함께 이용될 수 있는 평행-플레이트 플라즈마 화학기상증착(PECVD) 시스템(10)의 실시예를 도시한다. 시스템(10)은 하나 이상의 층들이 기판(도시 안 됨)상에 증착되는 진공 챔버(15)를 포함한다. 시스템(10)은 샤워헤드(11)의 페이스플레이트내의 천공 홀을 통해 프로세스 가스를 서셉터(12)상에 위치된 기판(예를 들어, 200mm 웨이퍼, 300mm 웨이퍼 등)으로 분산시키기 위한 가스 분배 샤워헤드(11)를 포함한다. 서셉터(12)(그리고 기판)가 아래쪽의 로딩/오프-로딩 위치와 샤워헤드(11)에 접근하는 위쪽의 프로세싱 위치(14) 사이에서 제어가능하게 이동될 수 있도록, 서셉터(12)가 지지부(13)상에 장착되고 열적으로 응답한다. 센터 보드(center board; 도시 안 됨)는 기판의 위치에 대한 정보를 제공하기 위한 센서를 포함한다.
서셉터(12) 및 기판이 프로세싱 위치(14)에 있을 때, 그 서셉터 및 기판은 환형 진공 매니폴드(24)로 배기하는 다수의 이격된 홀(23)을 구비하는 배플 플레이트(17)에 의해 둘러싸인다. 증착 가스 및 캐리어 가스가 공급 라인(18)을 통해 혼합 시스템(19)내로 공급되고, 상기 혼합 시스템내에서 조합되어 샤워헤드(11)로 전달된다. 각각의 프로세스 가스를 위한 공급 라인(18)은 (ⅰ) 챔버로 전달되는 프로세스 가스의 유동을 자동적으로 또는 수동적으로 차단하는데 이용될 수 있는 안 전 차단 밸브(도시 안 됨), 및 (ⅱ) 공급 라인을 통한 가스 또는 액체의 유동을 측정하는 질량 유량 제어부(20)를 포함할 수 있다. 프로세스에 독성 가스가 이용되는 경우에, 통상적으로 몇 개의 안전 차단 밸브가 각 가스 공급 라인에 배치된다.
증착 가스 및 캐리어 가스가 가스 혼합 시스템(19)으로 공급되는 유량은 액체 또는 가스 질량 유량 제어부(20)에 의해서 및/또는 밸브에 의해서 제어된다. 프로세싱 중에, 샤워헤드(11)로 공급되는 가스가 화살표(21)로 표시한 바와 같이 웨이퍼 표면을 향해서 배기되고 또 층류(laminar flow)로 웨이퍼 표면에 걸쳐 방사상으로 균일하게 분포된다. 이어서, 배기 시스템이 진공 펌핑 시스템(도시 안 됨)에 의해서 그리고 포트(23)를 통해서 가스를 원형 진공 매니폴드(24) 및 배기 라인(31)으로 배기한다. 배기 라인(31)을 통해서 가스들이 배출되는 유량은 스로틀 밸브(32)에 의해서 제어된다.
시스템(10)내에서 플라즈마 프로세스를 실시할 때, RF 전원(25)으로부터 샤워헤드(11)로 인가되는 RF 에너지에 의해 제어된 플라즈마가 기판에 인접하여 형성될 것이다. 샤워헤드(11)는 또한 RF 전극으로서 작용할 것이고, 서셉터(12)가 접지될 것이다. RF 전원(25)은 단일 또는 혼합 주파수 RF 전력(또는 기타 원하는 변형)을 샤워헤드(11)에 인가하여 챔버(15)내로 도입된 반응 종들의 분해를 촉진할 것이다. 주파수 혼합형 RF 전력은 고주파 RF 발생기(40)(RF1) 및 대응하는 매칭 회로(match circuit)(42), 그리고 저주파 RF 발생기(44)(RF2) 및 대응하는 매칭 회로(46)에 의해 생성된다. 고주파 필터(48)는 고주파 발생기(40)에 의해 생성된 전압이 저주파 발생기를 손상시키는 것을 방지한다.
열이 외부 램프 모듈(26)에 의해 분배된다. 외부 램프 히터 모듈(26)은 석영 윈도우(28)을 통해 서셉터(12)의 환형 외측 둘레 부분상으로 빛(27)의 시준된(collimated) 환형 패턴을 제공한다. 그러한 열 분배는 서셉터(12)의 자연적인 열 손실 패턴을 보상하고, 증착을 위해 서셉터 및 기판을 열적으로 그리고 균일하게 신속 가열한다.
챔버 라이닝(lining), 샤워헤드 페이스플레이트, 지지부(13), 및 기타 시스템 하드웨어가 알루미늄 또는 양극처리된 알루미늄과 같은 물질로 제조될 수 있다. 그러한 장치의 예가 Wang 등에게 허여되고 본 발명의 양수인인 Applied Materials, Inc.에게 양도된 "Thermal CVD/PECVD Reator and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In situ Multi-step Planarized Process"라는 명칭의 미국 특허 제 5,000,113 호에 개시되어 있으며, 상기 특허의 전체 내용이 본 명세서에서 참조된다.
모터(도시 안 됨)가 서셉터(12)를 프로세싱 위치(14)와 아래쪽의 기판-로딩 위치 사이에서 승하강시킨다. 모터 및 광학 센서를 이용하여, 스로틀 밸브(32) 및 서셉터(12)와 같은 이동가능한 기계적 조립체의 위치를 이동시키고 결정한다. 히터, 모터, 공급 라인(18)에 연결된 밸브 및 유동 제어부(20), 가스 공급 시스템, 스로틀 밸브(32), RF 전원(25), 및 램프 자석 구동부들은 모두 일부가 도 7에 도시된 제어 라인(36)들을 통해 시스템 제어부(34)에 의해 제어된다.
시스템 제어부(34)는 장치의 활동을 제어한다. 시스템 제어부는 시스템 제어 소프트웨어를 실행하고, 상기 소프트웨어는 메모리(38)와 같은 컴퓨터-판독 매 체내에 저장된다. 바람직하게, 메모리(38)는 하드디스크 드라이브일 수 있으나, 메모리(38)는 또한 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 예를 들어 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서텝터 위치, 및 기타의 프로세스 파라미터를 나타내는 명령어 세트를 포함한다. 다른 컴퓨터 프로그램(예를 들어, 플로피 디스크나 기타 프로그램 저장 매체와 같은 다른 메모리장치에 저장된 것)을 이용하여 프로세서(34)를 작동시킬 수도 있다.
시스템 제어부는 하드디스크 드라이브(메모리 38), 플로피디스크 드라이브 및 카드 랙(card rack) 등의 요소를 포함할 수 있다. 카드 랙은 단일 보드 컴퓨터(SBC) 프로세서(37), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어부 보드를 포함한다. 시스템(10)의 여러 부품들이 보드, 카드 케이지, 및 커넥터 크기와 타입을 규정하고 있는 Versa Modular European(VME) 표준에 맞을 것이다. VME 표준은 또한 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가지는 버스 구조를 규정하고 있다.
실험 예
실험 예로부터, 구배형 유전체 층 형성을 위한 본원 발명의 방법이 하부 배리어 층에 증착된 필름내 혼입물의 개체수를 감소시킨다는 것을 알 수 있다. 종래의 증착 기술을 이용하여 유전체 층을 형성하는 비교예에 대한 프로세스 조건이 표 1a에 기재되어 있다.
종래의 유전체 층 증착을 위한 프로세스 조건
프로세스 파라미터 초기 증착
시간(sec) 1.5 43
히터 온도(℃) 350 350
압력(Torr) 5 5
샤워헤드와 웨이퍼의 간격(mils) 450 450
고주파 RF 전력(watts) 500 500
저주파 RF 전력(watts) 150 150
OMCTS 유량(mgm) 500 2500
O2 유량(sccm) 500 160
He 유량(sccm) 4800 1000
전술한 종래의 프로세스 파라미터를 이용하여, 플라즈마 CVD 반응기내에서 벌크 유전체 층을 300mm 웨이퍼상에 형성하였다. 프로세스 가스의 분산을 위해 사용된 샤워헤드는 496개 홀의 블록커 플레이트를 포함하였고, 상기 블록커 플레이트는 가스 공급 유입구를 페이스플레이트로부터 분리(구획)한다. 사용된 페이스플레이트는 표준 REV 3 페이스플레이트였다. 증착 결과를 이하의 표 1b에 기재하였다.
종래의 유전체 층 증착을 위한 증착 조건
증착 조건 비교 웨이퍼 #1 비교 웨이퍼 #2
증착 속도(Å/분) 7077 7029
범위 157 181.35
균일도(1s) 0.83% 1.10%
RI 1.4557 1.4542
필름내 혼입물(<0.16㎛) 14,900 32,300
표 1b에 도시된 바와 같이, 측정된 양 비교 웨이퍼들의 경우에, 필름내 혼입물의 크기가 0.16㎛를 초과하는 것의 개체수가 10,000개 보다 많았다. 혼입물의 대부분은 웨이퍼의 중심에 또는 그 부근(예를 들어, 웨이퍼 중심으로부터 100mm 이내)에서 응축되었고 웨이퍼 둘레부에서는 거의 혼입물이 발견되지 않았다.
이어서, 본 발명의 실시예에 따라 1-단계 중간 OMCTS 유량으로 구배형 유전체 층을 형성하기 위한 실험을 실시하였다. 이하의 표 2a에는 증착 중에 이용된 프로세스 조건을 기재하였다.
구배형 유전체 증착을 위한 프로세스 조건
프로세스 파라미터 초기 중간 증착
시간(sec) 1.5 1 42
히터 온도(℃) 350 350 350
압력(Torr) 5 5 5
샤워헤드와 웨이퍼의 간격(mils) 450 450 450
고주파 RF 전력(watts) 500 500 500
저주파 RF 전력(watts) 150 500 150
OMCTS 유량(mgm) 500 1500 2500
O2 유량(sccm) 500 160 160
He 유량(sccm) 4800 1000 1000
전술한 비교예와 유사하게, 표 2a에 기재된 프로세스 파라미터를 이용하여 플라즈마 CVD 반응기내에서 300mm 웨이퍼상에 벌크 유전체 층을 형성하였다. 동일한 샤워헤드가 사용되었다. 증착 결과를 표 2b에 기재하였다.
구배형 유전체 층 증착을 위한 증착 조건
증착 조건 웨이퍼 #1 웨이퍼 #2
증착 속도(Å/분) 6932 6887
범위 186 204
균일도(1s) 1.03% 1.22%
RI 1.4559 1.4546
필름내 혼입물(<0.16㎛) 8 4
표 2b에 도시된 바와 같이, 구배형 유전체 층을 가지고 본 발명의 방법에 따라 형성된 웨이퍼들은 크기가 0.16㎛를 초과하는 필름내 혼입물을 거의 포함하지 않았다(즉, 10 개 미만의 혼입물). 유사하게, OMCTS 성분에 대한 초기 유량과 최종 유량 사이에 3개의 중간 유량 단계를 포함하는 방법에서도, 적은 수의 필름내 혼입물(9 내지 16개 혼입물)이 계수되었다.
몇 가지 실시예를 설명하였지만, 소위 당업자는 여러 가지 개량 실시예, 대안적인 구성 및 균등물이 본 발명의 사상내에서 실시될 수 있다는 것을 이해할 것이다. 또한, 본 발명을 불명확하게 하는 것을 피하기 위해 많은 공지의 프로세스 및 요소들을 설명하지 않았다. 따라서, 이상의 설명이 본 발명의 범위를 제한하는 것으로 해석되어서는 안될 것이다.
또한, 본 명세서 및 특허청구범위에서 사용된 "포함"이라는 용어는 설명된 특징, 숫자, 성분 또는 단계의 존재를 나타내기 위한 것이지, 다른 특징, 숫자, 성분, 단계 또는 그룹 중 하나 이상의 존재나 추가를 배제하기 위한 것이 아니다.

Claims (23)

  1. 하부 층상에 구배형 유전체 층을 형성하는 방법으로서:
    실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스의 혼합물을 블록킹 플레이트 및 페이스플레이트를 포함하는 샤워헤드를 통해 유동시켜 구배형 유전체 층의 산화물 부화 부분을 형성하는 단계로서, 상기 실리콘-탄소 함유 가스가 초기 유량을 가지는, 가스 혼합물 유동 단계;
    상기 실리콘-탄소 함유 가스를 약 0.5초 이상 동안 제 1 중간 유량으로 유동시키는 단계로서, 상기 제 1 중간 유량이 상기 초기 유량 보다 큰, 실리콘-탄소 함유 가스 유동 단계; 및
    상기 제 1 중간 유량 보다 큰 가장 빠른 유량으로 상기 실리콘-탄소 함유 가스를 유동시켜 구배형 유전체 층의 탄소 부화 부분을 형성하는 단계를 포함하는 구배형 유전체 층 형성 방법.
  2. 제 1항에 있어서, 상기 실리콘-탄소 함유 가스가 상온에서 액체인 구배형 유전체 층 형성 방법.
  3. 제 1항에 있어서, 상기 실리콘-탄소 함유 가스가 옥타메틸시클로테트라실록산(OMCTS)인 구배형 유전체 층 형성 방법.
  4. 제 1항에 있어서, 상기 산소 함유 가스가 산소(O2)를 포함하는 구배형 유전체 층 형성 방법.
  5. 제 1항에 있어서, 상기 캐리어 가스가 헬륨(He)을 포함하는 구배형 유전체 층 형성 방법.
  6. 제 1항에 있어서, 상기 하부 층이 탄소-함유 확산 배리어 층인 구배형 유전체 층 형성 방법.
  7. 제 6항에 있어서, 상기 탄소-함유 확산 배리어 층이 실리콘 옥시카바이드(SiOCH)를 포함하는 구배형 유전체 층 형성 방법.
  8. 제 1항에 있어서, 상기 구배형 유전체 층의 산화물 부화 부분의 두께가 약 70Å 내지 약 80Å인 구배형 유전체 층 형성 방법.
  9. 제 1항에 있어서, 상기 실리콘-탄소 함유 가스가 상기 초기 유량으로부터 약 600 밀리그램/초 이하의 제 1중간 유량으로 증가되는 구배형 유전체 층 형성 방법.
  10. 제 1항에 있어서, 상기 실리콘-탄소 함유 가스를 약 0.5초 이상 동안 제 2중 간 유량으로 유동시키는 단계를 포함하고, 상기 제 2중간 유량은 상기 제 1중간 유량 보다 큰 구배형 유전체 층 형성 방법.
  11. 제 1항에 있어서, 상기 실리콘-탄소 함유 가스가 초기 유량으로부터 제 1중간 유량이 될때 상기 산소 함유 가스에 대한 유량이 감소되는 구배형 유전체 층 형성 방법.
  12. 제 1항에 있어서, 상기 실리콘-탄소 함유 가스가 초기 유량으로부터 제 1중간 유량이 될때 상기 캐리어 가스에 대한 유량이 감소되는 구배형 유전체 층 형성 방법.
  13. 하부 층상에 구배형 유전체 층을 형성하는 방법으로서:
    실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스의 혼합물을 블록킹 플레이트 및 페이스플레이트를 포함하는 샤워헤드를 통해 유동시켜 구배형 유전체 층의 산화물 부화 부분을 형성하는 단계로서, 상기 실리콘-탄소 함유 가스가 초기 유량을 가지는, 가스 혼합물 유동 단계; 및
    상기 실리콘-탄소 함유 가스를 가장 빠른 유량까지 증대시켜 구배형 유전체 층의 탄소 부화 부분을 형성하는 단계를 포함하며,
    상기 캐리어 가스는 상기 실리콘-탄소 함유 가스가 가장 빠른 유량에 도달할 때까지 일정하게 유지되는 캐리어 가스 유량을 가지는 구배형 유전체 층 형성 방 법.
  14. 제 13항에 있어서, 상기 실리콘-탄소 함유 가스가 옥타메틸시클로테트라실록산(OMCTS)인 구배형 유전체 층 형성 방법.
  15. 제 13항에 있어서, 상기 캐리어 가스가 헬륨(He)을 포함하는 구배형 유전체 층 형성 방법.
  16. 제 13항에 있어서, 상기 산소 함유 가스가 산소(O2)를 포함하는 구배형 유전체 층 형성 방법.
  17. 제 13항에 있어서, 상기 실리콘-탄소 함유 가스가 가장 빠른 유량에 도달한 후에 상기 캐리어 가스 유량이 약 50% 이상 감소되는 구배형 유전체 층 형성 방법.
  18. 제 13항에 있어서, 상기 실리콘-탄소 함유 가스의 초기 유량이 약 500mgm이고, 상기 가장 빠른 유량이 약 3000mgm인 구배형 유전체 층 형성 방법.
  19. 제 18항에 있어서, 상기 실리콘-탄소 함유 가스가 가장 빠른 유량에 도달한 후에 상기 캐리어 가스 유량이 약 5000sccm으로부터 약 1000sccm으로 감소되는 구 배형 유전체 층 형성 방법.
  20. 하부 층에 구배형 유전체 층을 형성하는 시스템으로서:
    블록킹 플레이트 및 페이스플레이트를 포함하는 샤워헤드; 및
    상기 샤워헤드로 가는 실리콘-탄소 함유 가스의 유량을 제어하기 위한 액체 유량계를 포함하며,
    상기 샤워헤드는 가스 공급 유입구와 결합되고, 상기 가스 공급 유입구를 통해서 실리콘-탄소 함유 가스, 산소 함유 가스 및 캐리어 가스를 포함하는 프로세스 가스가 상기 샤워헤드내로 도입되며,
    상기 액체 유량계는, 구배형 유전체 층의 산소 부화 부분의 형성 중에 상기 실리콘-탄소 함유 가스를 초기 유량으로 유동시키고, 이어서 상기 실리콘-탄소 함유 가스의 유량을 초기 유량으로부터 중간 유량으로 증대시키고, 약 0.5초 이상 동안 상기 중간 유량을 유지하며, 이어서 상기 실리콘-탄소 함유 가스의 유량을 상기 중간 유량으로부터 가장 빠른 유량으로 증대시켜 구배형 유전체 층의 탄소 부화 부분을 형성하도록, 프로그래밍되는 구배형 유전체 층 형성 시스템.
  21. 제 20항에 있어서, 상기 실리콘-탄소 함유 가스가 옥타메틸시클로테트라실록산(OMCTS)을 포함하는 구배형 유전체 층 형성 시스템.
  22. 제 20항에 있어서, 상기 산소 함유 가스가 산소(O2)를 포함하고, 상기 캐리어 가스가 헬륨(He)을 포함하는 구배형 유전체 층 형성 시스템.
  23. 제 20항에 있어서, 상기 샤워헤드를 빠져나오는 프로세스 가스로부터 플라즈마를 형성하도록 구성된 플라즈마 발생 시스템을 포함하는 구배형 유전체 층 형성 시스템.
KR1020077005803A 2004-09-01 2005-08-29 점성 전구체를 이용한 화학기상증착을 통해 기능적 구배형유전체 필름을 증착하기 위한 방법 KR20070054201A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/931,609 US7166544B2 (en) 2004-09-01 2004-09-01 Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US10/931,609 2004-09-01

Publications (1)

Publication Number Publication Date
KR20070054201A true KR20070054201A (ko) 2007-05-28

Family

ID=35539559

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077005803A KR20070054201A (ko) 2004-09-01 2005-08-29 점성 전구체를 이용한 화학기상증착을 통해 기능적 구배형유전체 필름을 증착하기 위한 방법

Country Status (7)

Country Link
US (2) US7166544B2 (ko)
EP (1) EP1794784A1 (ko)
JP (1) JP2008511758A (ko)
KR (1) KR20070054201A (ko)
CN (1) CN100477115C (ko)
TW (1) TWI317543B (ko)
WO (1) WO2006028844A1 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8147732B2 (en) * 2004-01-20 2012-04-03 Porous Power Technologies, Llc Highly microporous polymers and methods for producing and using the same
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7804172B2 (en) * 2006-01-10 2010-09-28 Halliburton Energy Services, Inc. Electrical connections made with dissimilar metals
US8323815B2 (en) * 2006-06-16 2012-12-04 Porous Power Technology, LLC Optimized microporous structure of electrochemical cells
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US7750470B2 (en) * 2007-02-08 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
JP4470970B2 (ja) * 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
US20090208832A1 (en) * 2008-02-17 2009-08-20 Porous Power Technologies, Llc Lamination Configurations for Battery Applications Using PVDF Highly Porous Film
US20090226683A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Porous Material Uses in Furniture
US20090227163A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Protective Apparel with Porous Material Layer
US20090223155A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Building Construction Applications for Porous Material
US20090222995A1 (en) * 2008-03-05 2009-09-10 Bernard Perry Bedding Applications for Porous Material
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US7892937B2 (en) * 2008-10-16 2011-02-22 Micron Technology, Inc. Methods of forming capacitors
JP5133852B2 (ja) * 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
US20100178567A1 (en) * 2008-12-24 2010-07-15 Porous Power Technologies, Llc Mat Forming Spacers in Microporous Membrane Matrix
CN102804297A (zh) * 2009-05-20 2012-11-28 多孔渗透电力技术公司 用于微孔膜的处理和胶粘剂
CN101996878B (zh) * 2009-08-11 2012-09-26 中芯国际集成电路制造(上海)有限公司 沉积低介电常数绝缘材料层的方法
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN105336586B (zh) * 2014-06-30 2018-01-23 中芯国际集成电路制造(上海)有限公司 一种氧含量递增的硬掩模
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10553479B2 (en) * 2017-02-16 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact pad and fabrication method therefore
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11430654B2 (en) 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5531183A (en) * 1994-07-13 1996-07-02 Applied Materials, Inc. Vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6961583B2 (en) * 1999-05-24 2005-11-01 Samsung Electronics Co., Ltd. Wireless network system selection mechanism within a mobile station
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
KR100406176B1 (ko) * 2000-06-19 2003-11-19 주식회사 하이닉스반도체 샤워헤드 및 이를 이용한 액체 원료 공급 장치
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6498112B1 (en) * 2001-07-13 2002-12-24 Advanced Micro Devices, Inc. Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors

Also Published As

Publication number Publication date
US7802538B2 (en) 2010-09-28
US20060046520A1 (en) 2006-03-02
TW200620534A (en) 2006-06-16
CN101065835A (zh) 2007-10-31
JP2008511758A (ja) 2008-04-17
TWI317543B (en) 2009-11-21
US20070079753A1 (en) 2007-04-12
WO2006028844A1 (en) 2006-03-16
US7166544B2 (en) 2007-01-23
CN100477115C (zh) 2009-04-08
EP1794784A1 (en) 2007-06-13

Similar Documents

Publication Publication Date Title
US7802538B2 (en) Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6713390B2 (en) Barrier layer deposition using HDP-CVD
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US6703321B2 (en) Low thermal budget solution for PMD application using sacvd layer
JP4721510B2 (ja) 基板上に多層誘電膜を堆積させる方法
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
US6319324B1 (en) Method and apparatus for elimination of TEOS/ozone silicon oxide surface sensitivity
US20130217241A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US6803325B2 (en) Apparatus for improving barrier layer adhesion to HDP-FSG thin films
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
JP2008227511A (ja) 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
EP1097473A1 (en) Plasma process to deposit silicon nitride with high film quality and low hydrogen content
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application