JP2008103731A - 相変化メモリ素子の製造方法及びこれに適用された相変化層の形成方法 - Google Patents

相変化メモリ素子の製造方法及びこれに適用された相変化層の形成方法 Download PDF

Info

Publication number
JP2008103731A
JP2008103731A JP2007273033A JP2007273033A JP2008103731A JP 2008103731 A JP2008103731 A JP 2008103731A JP 2007273033 A JP2007273033 A JP 2007273033A JP 2007273033 A JP2007273033 A JP 2007273033A JP 2008103731 A JP2008103731 A JP 2008103731A
Authority
JP
Japan
Prior art keywords
phase change
change layer
precursor
forming
phase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007273033A
Other languages
English (en)
Inventor
Woong-Chul Shin
雄▲チュル▼ 申
Jae-Ho Lee
在▲ホ▼ 李
Youn-Seon Kang
允善 姜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2008103731A publication Critical patent/JP2008103731A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】相変化メモリ素子の製造方法及びこれに適用された相変化層の形成方法を提供する。
【解決手段】相変化層が形成される下部膜上に、ゲルマニウム(Ge)を含む二価の第1前駆体を供給する段階を含むことを特徴とする相変化メモリ素子の製造方法を提供する。相変化層は、MOCVD、サイクリック−CVD及びALDのうちいずれかの方法で形成し、このとき、相変化層の組成は、圧力、蒸着温度または反応ガス供給量で調節でき、圧力範囲は、0.001Torr−10Torr、蒸着温度の範囲は、150℃−350℃、反応ガスの供給量は、0−1slmでありうる。
【選択図】図2

Description

本発明は、半導体メモリ素子の製造方法に係り、さらに詳細には、相変化メモリ素子の製造方法及びこの方法に適用された相変化層の形成方法に関する。
相変化物質(Phase Change Material)は、結晶状態または非晶質状態によって明確に異なる抵抗を有する。すなわち、相変化物質は、抵抗値により明確に区分される二つの状態を有する。相変化物質の前記二つの状態は、温度によって可逆的に変化できる。
相変化メモリ素子(Phase Change Memory Device)、例えば、PRAM(Phase Change RAM)は、ビットデータを保存するための手段として、前記の相変化物質で形成された相変化層を含む。
現在、相変化物質として知られている多くの物質のうち代表的なものが、GST(GeSbTe)である。
GST膜は、物理気相蒸着法(Physical Vapor Deposition:PVD)で形成できる。しかし、PVD法でGST膜が形成される場合、成長を制御し難く、蒸着速度が遅いだけでなく、膜の緻密さ(densification)が低下する。
そのため、高品質のGST膜を得るために、有機金属化学気相蒸着(Metal Organic Chemical Vapor Deposition:MOCVD)やサイクリック−CVD法が使われる。
従来のPRAM製造過程において、このようなCVD法でGST膜が形成される過程を簡略に説明する。
まず、CVDチャンバにGST膜が形成される下部膜が形成された基板がローディングされる。前記基板は、蒸着に必要な温度に加熱される。続いて、シャワーヘッドを通じて前記加熱された基板上にGST膜を構成する金属成分を含む前駆体、すなわちゲルマニウム(Ge)を含む有機金属化合物、アンチモン(Sb)を含む有機金属化合物、テルル(Te)を含む有機金属化合物が同時に供給される。前記基板が蒸着に好適な温度に加熱されているので、前記供給された前駆体は、前記下部膜に吸着されながら分解されて、各前駆体に含まれた金属成分のみ前記下部膜と反応し、残りはチャンバの外側に排出される。
しかし、CVD法を利用してGST膜が形成される従来のPRAMの製造方法は、次のような問題点を有する。
Geを含む有機金属化合物は、4価の化合物であって、一つのGeに4個の有機配位子が連結された構造である。かかる構造でのGeは、4面体(tetrahedral site)の中心に位置する。したがって、Geを含む有機金属化合物は、非常に安定している。このように安定した化合物を熱分解させるために、前記化合物を、高温で加熱する必要がある。
したがって、従来のCVD法を利用したGST膜の形成過程において基板の温度は、必ず前記Geを含む有機金属化合物が分解できるほどに高くなる。前記基板の温度は、400℃以上である。
一方、PRAMの集積度を高めるために、リセット電流を減らさなければならないが、その方法の一つが、GST膜を100nm以下の狭いコンタクトホールに充填することである。
このために、前記従来のGST膜の形成過程でGST膜に対して優れた段差被覆性が確保されることが必要である。GST膜の優れた段差被覆性を確保するために、CVD法においては、GST膜を低温で蒸着する必要がある。
しかし、上述のように、従来のCVD法を利用したGST膜の形成過程で、Geを含む有機金属化合物は、4価の安定した化合物であるため、GST膜の蒸着温度は高くなる。したがって、従来のCVD法を利用したGST膜の形成過程で、直径100nm以下のコンタクトホールを充填することができるほどに、優れた段差被覆性を有するGST膜を形成することは困難である。
本発明が解決しようとする技術的課題は、前記従来技術の問題点を改善するためのものであって、CVD法を利用したGST膜の形成過程で、GST膜の蒸着温度を下げうる相変化メモリ素子の製造方法を提供することである。
本発明が解決しようとする他の技術的課題は、前記製造方法に適用された相変化層の形成方法を提供することである。
前記技術的課題を達成するために、本発明は、ストレージノードに相変化層を含む相変化メモリ素子の製造方法において、前記相変化層が形成される下部膜上に、Geを含む二価の第1前駆体を供給する段階を含むことを特徴とする相変化メモリ素子の製造方法を提供する。
この製造方法において、前記相変化層は、GST膜であり、MOCVD、サイクリック−CVD及びALDのうちいずれかの方法で形成されうる。
前記相変化層の組成は、圧力、蒸着温度、及び反応ガスの供給量のうちいずれか一つで調節されうる。
前記第1前駆体を供給する段階で、Sbを含む第2前駆体及びTeを含む第3前駆体のうち、少なくともいずれか一つを同時に供給できる。
前記第1前駆体を供給する段階の後、前記下部膜上に吸着されていない前記第1前駆体をパージする段階と、Sbを含む第2前駆体及びTeを含む第3前駆体のうち、少なくともいずれか一つを供給する段階とをさらに含みうる。
前記第1前駆体は、GeCl、Ge(N(SiMe、Ge(N(Me、Ge(CH(SiMe、Ge(CH(Me、Ge(Cp)及びGe(EtCp)のうちいずれか一つであり、ここで、Me、Et、Cp、及びiPrは、それぞれメチル基、エチル基、シクロペンタジエニル基及びイソプロピル基を示す。
前記圧力の範囲は0.001Torr−10Torrであり、前記蒸着温度の範囲は150℃−350℃であり、前記反応ガスの供給量は0−1slmでありうる。
前記第1前駆体を供給する段階は、前記下部膜を覆う層間絶縁層を形成する段階と、前記層間絶縁層に前記下部膜が露出されるホールを形成する段階とを実施した後に実施できる。
前記他の技術的課題を達成するために、本発明は、相変化層の形成方法において、前記相変化層が形成される下部膜上にGeを含む二価の第1前駆体を供給する段階を含むことを特徴とする相変化層の形成方法を提供する。
前記Ge(N(SiMeは、GeCl(ジオキサン(dioxane))を合成して準備する第1段階と、無水THF(テトラヒドロフラン,tetrahydrofuran)に溶けているビス(トリメチルシリル)アミンと当量のメチルリチウムを、前記無水THFとビス(トリメチルシリル)アミンとを含む溶液に入れて、混合物を作る第2段階と、前記第2段階の混合物に、前記第1段階のGeCl(ジオキサン)をビス(トリメチルシリル)アミンに対する1/2当量入れてGe[N(SiMeを形成し、沈んだ塩化リチウムは、ろ過させて除去する第3段階と、溶媒(THF)を減圧蒸発させて除去する第4段階とを経て形成できる。
本発明は、Geを含む前駆体として二価の有機金属化合物を使用するので、MOCVDやサイクリック−CVD法等のCVD法を利用したGST膜形成工程において、蒸着温度を300℃以下に、望ましくは、220℃−280℃に低くすることができる。したがって、本発明におけるGST膜は、優れた段差被覆性を有することができる。これにより、本発明は、直径の100nm以下であるビアホールにGSTが充填された相変化メモリ素子を形成できる。したがって、本発明の相変化メモリ素子でリセット電流は、小さくなる。リセット電流が小さくなれば、トランジスタの最大収容電流も小さくなる。これにより、トランジスタのサイズを減らすことができるので、PRAMの集積度を高めることができる。
以下、本発明の実施形態による相変化メモリ素子の製造方法及びこの方法に適用された相変化層の形成方法を、添付した図面を参照して詳細に説明する。この過程で図面に示された層や領域の厚さは、明細書の明確性のために誇張して示されている。
まず、相変化メモリ素子の製造方法を説明する。この過程で相変化層製造方法を共に説明する。
図1を参照すれば、p型またはn型基板40の素子分離膜(図示せず)に画定された活性領域の所定領域上にゲート積層物46を形成する。ゲート積層物46は、ゲート絶縁膜とゲート電極とを備える。前記素子分離膜とゲート積層物46との間の基板40に第1及び第2不純物領域42、44を形成する。第1及び第2不純物領域は、基板40のドーピング物質と反対となるドーピング物質をイオン注入して形成する。第1不純物領域42はソース領域として、第2不純物領域44はドレイン領域として使われうるが、その反対に使われてもよい。第1及び第2不純物領域42、44は、多様な形状を有しうる。第1及び第2不純物領域42、44とゲート積層物46とは、半導体トランジスタを構成する。
続いて、基板40上に前記トランジスタを覆う第1層間絶縁層48を形成する。第1層間絶縁層48に第1不純物領域42が露出されるコンタクトホール50を形成する。コンタクトホール50は、第1不純物領域42の代わりに、第2不純物領域44が露出される位置に形成されてもよい。コンタクトホール50は、導電性プラグ52で充填する。
図2を参照すれば、第1層間絶縁層48上に導電性プラグ52の露出された上部面を覆う下部電極層54を形成し、下部電極層54上に下部電極コンタクト層60を形成する。下部電極層54と下部電極コンタクト層60とは、二つの物質層を順次に積層した後、一度にエッチングして形成してもよい。第1層間絶縁層48上に下部電極層54と下部電極コンタクト層60とを覆う第2層間絶縁層56を形成する。第2層間絶縁層56と第1層間絶縁層48とは、同じ絶縁層で形成してもよい。例えば、第1及び第2層間絶縁層48、56は、シリコン酸化物層で形成できる。第2層間絶縁層56を形成した後、第2層間絶縁層56に下部電極コンタクト層60の上部面が露出されるビアホール58を形成する。続いて、二価の前駆体を含むソース物質ガスを第2層間絶縁層56上に供給する(61)。その結果、図3に示すようにビアホール58に相変化層62が充填される。
相変化層62は、CVD法あるいは原子層堆積(Atomic Layer Deposition:ALD)法で形成できる。前記CVD法の場合、特にMOCVDまたはサイクリック−CVD法で形成できる。
具体的には、蒸着チャンバ(図示せず)に基板40を配置した後、ビアホール58が形成された第2層間絶縁層56上に、相変化層62のソース物質ガス、搬送ガス(Ar)、及び反応ガスである水素ガス(H)も供給する。相変化層62がALD法で形成される場合、前記水素ガスは省略可能である。その結果、第2層間絶縁層56上にビアホール58を充填する相変化層62が形成される。このように形成された相変化層62を化学機械研磨(Chemical Mechanical Polishing:CMP)などの平坦化方法を利用して、第2層間絶縁層56が露出されるまで平坦化する。その結果、相変化層62は、ビアホール58にのみ残る。
相変化層62は、GST層であってもよい。この場合、前記ソース物質ガスは、Geを含む二価の前駆体(以下、第1前駆体)、Sbを含む前駆体(以下、第2前駆体)、Teを含む前駆体(以下、第3前駆体)である。前記第1ないし第3前駆体は、有機金属化合物であるが、特に前記第1前駆体は、Geを含む二価の有機金属化合物である。
前記の相変化層62を形成する過程で、前記第1ないし第3前駆体は、同時に供給してもよく(MOCVD法)、また各前駆体を一度ずつ順次に供給するか、2つの前駆体を同時に供給してもよい(サイクリック−CVD法またはALD法)。いずれの場合も前駆体を供給した後、前記ビアホール58に吸着されていない前駆体をパージする段階を実施する。また、ALD法が使われる際には、反応ガスを前記パージ段階後に供給する。
前記第1ないし第3前駆体が供給されるとき、蒸着チャンバ内の蒸着圧力は、0.001Torr−10Torrであり、蒸着温度を、150℃−350℃に維持する。そして、水素ガスの供給率を、0−1000sccm(1slm)に維持する。前記第1前駆体は、GeCl、Ge(N(SiMe、Ge(N(Me、Ge(CH(SiMe、Ge(CH(Me、Ge(Cp)、及びGe(EtCp)のうちいずれか一つでありうる。そして、前記第2及び第3前駆体は、それぞれSb(iPr)及びTe(iPr)でありうる。
前記第1前駆体として二価の有機金属化合物を使用するとき、GST膜は、従来よりもはるかに低い温度で形成できるが、これについては発明者の実験例を通じて説明する。
本発明者は、前記第1前駆体としてGe(N(SiMeを使用し、前記第2及び第3前駆体として、それぞれSb(iPr)及びTe(iPr)を使用して、GST膜を形成する実験を実施した。
本実験で第1前駆体として使われたGe(N(SiMeは、次の順序を経て合成した。
1.GeCl(ジオキサン)を合成して用意する。
2.無水THFに溶けているビス(トリメチルシリル)アミンと当量のメチルリチウムを、前記無水THFとビス(トリメチルシリル)アミンとを含む溶液に入れて混合物を作る。この時、アミンの水素は、取り除かれて塩化リチウムを形成する。
3.前記第2段階の混合物に、前記第1段階のGeCl(ジオキサン)をビス(トリメチルシリル)アミンに対する1/2当量入れて、Ge[N(SiMeを形成し、沈んだ塩化リチウムは、ろ過させて除去する。
4.前記第3段階の結果物を減圧蒸発させる。
前記合成過程は、次の化学反応式で表すことができる。
本発明者は、このように合成された前記第1前駆体を利用して形成したGST膜の組成が、GST膜の形成条件によってどのように変化するかを確認し、最適の形成条件を探すために、蒸着圧力を変化させながらGST膜を形成する第1実験と、蒸着温度を変化させながらGST膜を形成する第2実験と、水素ガス供給率を変化させながらGST膜を形成する第3実験を実施した。前記第1ないし第3実験は、それぞれ独立的に実施した。
前記第1実験で、蒸着温度及び水素ガス供給率を、それぞれ280℃と400sccmとに維持した。そして、前記第2実験で、蒸着圧力及び水素ガス供給率を、それぞれ5Torrと400sccmとに維持した。また前記第3実験で、蒸着温度及び蒸着圧力を、それぞれ280℃と5Torrとに維持した。
図6ないし図8は、前記第1ないし第3実験の結果を示す。各図において、第1プロットG1、G21、G31は、GST膜でのGe含有量の変化を示し、第2プロットG2、G22、G32は、Sb含有量の変化を示し、第3プロットG3、G23、G33は、Te含有量の変化を示す。
図6を参照すれば、蒸着温度及び水素ガスの供給率を、それぞれ280℃と400sccmとに維持し、蒸着圧力を1−5Torrに変化させながら形成したGST膜の場合、Te含有量は、60wt%でほとんど変わらないが、Ge含有量は、20wt%に増加し、Sb含有量は、20−30wt%に低くなることが分かる。
図7を参照すれば、蒸着圧力及び水素ガス供給率を、それぞれ5Torrと400sccmとに維持し、蒸着温度を260℃−300℃に変化させながら形成したGST膜の場合、Ge含有量は、30wt%より少し高い値から10wt%以下に低くなり、Sb含有量は、20wt%−30wt%から30wt%−40wt%に高くなり、Te含有量は、40wt%程度からほぼ60wt%まで高くなることが分かる。
図8を参照すれば、蒸着温度及び蒸着圧力を、それぞれ280℃と5Torrとに維持し、水素ガス供給率を0−500sccmまで変化させながら形成したGST膜の場合、Ge含有量は、20wt%まで増加し、Sb含有量は、30wt%−40wt%から20wt%まで低くなり、Te含有量は、60wt%より少し低くなることが分かる。
図6ないし図8を比較すると、GST膜がいずれの場合で形成されても、Ge、Sb及びTeを含む組成を有することが分かる。特に、図8は、水素ガス供給率が500sccmであるとき、所望の組成を有するGST膜(GeSbTe)が形成されることを示す。
したがって、図8に示す条件(蒸着温度:280℃、蒸着圧力:5Torr、水素ガス供給率:500sccm)は、所望の組成のGST膜が得られる最適条件となる。
図9は、前記最適条件で形成したGST膜の微細構造を示す。図9において、黒い部分(9B)は、平坦で均一な厚さの部分を示し、白い部分(9W)は、黒い部分(9B)より多少高い領域を示す。
図9を参照すれば、GST膜は、全体的に均な一厚さであり、平坦に形成されたことが分かる。
前記のように、ビアホール58に相変化層62を形成した後、図4に示すように第2層間絶縁層56上に相変化層62を覆う上部電極コンタクト層64を形成する。上部電極コンタクト層64上には、上部電極層66を形成する。
一方、図5に示すように、相変化層62は、ビアホール58を充填するだけでなく、ビアホール58周囲の第2層間絶縁層56上に拡張されることもある。相変化層62が、ビアホール58周囲の第2層間絶縁層56上に拡張された構造は、以下のようにすして形成できる。
具体的には、前記のようにビアホール58に相変化層62を充填する過程で、第2層間絶縁層56上にビアホール58を充填する相変化層62を適正厚さに形成した後、第2層間絶縁層56が露出されるまで相変化層62を平坦化する代わりに、相変化層62上にビアホール58とその周囲の一定部分を覆うマスク(図示せず)を形成する。そして、前記マスク周囲の相変化層62を除去する。
上述の説明では多くの事項が具体的に記載されているが、これらは発明の範囲を限定するものというより、望ましい実施形態を例示するものとして解釈されたい。例えば、当業者ならば、図4及び図5に示す構造と異なるストレージノード構造を有する相変化メモリ素子にも、相変化層の形成に対する本発明の技術的思想を適用することができる。したがって、本発明の範囲は、説明された実施形態によって定められるものではなく、特許請求の範囲に記載された技術的思想によって定められるものである。
本発明は、半導体メモリ素子関連の技術分野に好適に用いられる。
本発明の実施形態による相変化メモリ素子の製造方法を段階別に示す断面図である。 本発明の実施形態による相変化メモリ素子の製造方法を段階別に示す断面図である。 本発明の実施形態による相変化メモリ素子の製造方法を段階別に示す断面図である。 本発明の実施形態による相変化メモリ素子の製造方法を段階別に示す断面図である。 図4で相変化層がビアホールの周囲に拡張された場合を示す断面図である。 本発明者が実験を通じて形成したGST膜の組成変化を圧力によって示すグラフである。 本発明者が実験を通じて形成したGST膜の組成変化を蒸着温度によって示すグラフである。 本発明者が実験を通じて形成したGST膜の組成変化を水素ガス供給率によって示すグラフである。 本発明者が実験を通じて見つけた最適条件によって形成したGST膜の微細構造を示す写真である。
符号の説明
40 基板
46 ゲート積層物
42 第1不純物領域
44 第2不純物領域
48 第1層間絶縁層
56 第2層間絶縁層
50 コンタクトホール
52 導電性プラグ
54 下部電極層
58 ビアホール
60 下部電極コンタクト層
62 相変化層62
64 上部電極コンタクト層
66 上部電極層

Claims (24)

  1. ストレージノードに相変化層を含む相変化メモリ素子の製造方法において、
    前記相変化層が形成される下部膜上に、ゲルマニウム(Ge)を含む二価の第1前駆体を供給する段階を含むことを特徴とする相変化メモリ素子の製造方法。
  2. 前記相変化層は、GST膜であり、MOCVD、サイクリック−CVD、及びALDのうちいずれかの方法で形成することを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  3. 前記相変化層の組成を、圧力で調節することを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  4. 前記相変化層の組成を、蒸着温度で調節することを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  5. 前記相変化層の組成を、反応ガスの供給量で調節することを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  6. 前記第1前駆体を供給する段階で、Sbを含む第2前駆体及びTeを含む第3前駆体のうち、少なくともいずれか一つを同時に供給することを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  7. 前記第1前駆体を供給する段階の後、
    前記下部膜上に吸着されていない前記第1前駆体をパージする段階と、
    Sbを含む第2前駆体及びTeを含む第3前駆体のうち、少なくともいずれか一つを供給する段階と、をさらに含むことを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  8. 前記第1前駆体は、GeCl、Ge(N(SiMe、Ge(N(Me、Ge(CH(SiMe、Ge(CH(Me、Ge(Cp)及びGe(EtCp)のうちいずれか一つであり、ここで、Me、Et、Cp、及びiPrは、それぞれメチル基、エチル基、シクロペンタジエニル基、及びイソプロピル基を示すことを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  9. 前記圧力の範囲は、0.001Torr−10Torrであることを特徴とする請求項3に記載の相変化メモリ素子の製造方法。
  10. 前記蒸着温度の範囲は、150℃−350℃であることを特徴とする請求項4に記載の相変化メモリ素子の製造方法。
  11. 前記反応ガスの供給量は、0−1slmであることを特徴とする請求項5に記載の相変化メモリ素子の製造方法。
  12. 前記第1前駆体を供給する段階は、
    前記下部膜を覆う層間絶縁層を形成する段階と、
    前記層間絶縁層に前記下部膜が露出されるホールを形成する段階と、を実施した後に実施することを特徴とする請求項1に記載の相変化メモリ素子の製造方法。
  13. 相変化層の形成方法において、
    前記相変化層が形成される下部膜上に、ゲルマニウム(Ge)を含む二価の第1前駆体を供給する段階を含むことを特徴とする相変化層の形成方法。
  14. 前記第1前駆体を供給する段階で、Sbを含む第2前駆体及びTeを含む第3前駆体のうち、少なくともいずれか一つを同時に供給することを特徴とする請求項13に記載の相変化層の形成方法。
  15. 前記第1前駆体を供給する段階の後に、
    前記下部膜上に吸着されていない前記第1前駆体をパージする段階と、
    Sbを含む第2前駆体及びTeを含む第3前駆体のうち、少なくともいずれか一つを供給する段階と、をさらに含むことを特徴とする請求項13に記載の相変化層の形成方法。
  16. 前記第1前駆体は、GeCl、Ge(N(SiMe、Ge(N(Me、Ge(CH(SiMe、Ge(CH(Me、Ge(Cp)及びGe(EtCp)のうちいずれか一つであることを特徴とする請求項13に記載の相変化層の形成方法。
  17. 前記相変化層は、GST膜であり、MOCVD、サイクリック−CVD及びALDのうちいずれかの方法で形成することを特徴とする請求項13に記載の相変化層の形成方法。
  18. 前記相変化層の組成を、圧力で調節することを特徴とする請求項13に記載の相変化層の形成方法。
  19. 前記相変化層の組成を、温度で調節することを特徴とする請求項13に記載の相変化層の形成方法。
  20. 前記相変化層の組成を、反応ガスの供給量で調節することを特徴とする請求項13に記載の相変化層の形成方法。
  21. 前記圧力の範囲は、0.001Torr−10Torrであることを特徴とする請求項18に記載の相変化層の形成方法。
  22. 前記温度の範囲は、150℃−350℃であることを特徴とする請求項19に記載の相変化層の形成方法。
  23. 前記反応ガスの供給量は、0−1slmであることを特徴とする請求項20に記載の相変化層の形成方法。
  24. 前記Ge(N(SiMeは、
    GeCl(ジオキサン)を合成して準備する第1段階と、
    無水THFに溶けているビス(トリメチルシリル)アミンと当量のメチルリチウムを、前記無水THFとビス(トリメチルシリル)アミンとを含む溶液に入れて、混合物を作る第2段階と、
    前記第2段階の混合物に前記第1段階のGeCl(ジオキサン)をビス(トリメチルシリル)アミンに対する1/2当量入れて、Ge[N(SiMeを形成し、沈んだ塩化リチウムは、ろ過させて除去する第3段階と、
    溶媒(THF)を減圧蒸発させて除去する第4段階と、を経て形成することを特徴とする請求項16に記載の相変化層の形成方法。
JP2007273033A 2006-10-20 2007-10-19 相変化メモリ素子の製造方法及びこれに適用された相変化層の形成方法 Pending JP2008103731A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060102463A KR101263822B1 (ko) 2006-10-20 2006-10-20 상변화 메모리 소자의 제조 방법 및 이에 적용된상변화층의 형성방법

Publications (1)

Publication Number Publication Date
JP2008103731A true JP2008103731A (ja) 2008-05-01

Family

ID=39334507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007273033A Pending JP2008103731A (ja) 2006-10-20 2007-10-19 相変化メモリ素子の製造方法及びこれに適用された相変化層の形成方法

Country Status (4)

Country Link
US (1) US7902048B2 (ja)
JP (1) JP2008103731A (ja)
KR (1) KR101263822B1 (ja)
CN (1) CN101165934A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010159471A (ja) * 2009-01-09 2010-07-22 Tokyo Electron Ltd Ge−Sb−Te系膜の成膜方法および記憶媒体
WO2010143570A1 (ja) * 2009-06-09 2010-12-16 東京エレクトロン株式会社 Ge-Sb-Te膜の成膜方法および記憶媒体
JP2010287705A (ja) * 2009-06-11 2010-12-24 Tokyo Electron Ltd Ge−Sb−Te膜の成膜方法および記憶媒体
JP2012514635A (ja) * 2009-01-08 2012-06-28 テクノ セミケム シーオー., エルティーディー. アミジン誘導体をリガンドとする新規ゲルマニウム化合物及びその製造方法
JP2012524406A (ja) * 2009-04-15 2012-10-11 マイクロン テクノロジー, インク. 相変化材料の形成方法ならびに相変化メモリ回路の形成方法
KR20130115256A (ko) 2010-09-29 2013-10-21 도쿄엘렉트론가부시키가이샤 Ge-Sb-Te막의 성막 방법 및 기억 매체
JP2014084506A (ja) * 2012-10-24 2014-05-12 Hitachi Kokusai Electric Inc 基板処理方法、基板処理装置およびプログラム
JP2014205921A (ja) * 2014-07-16 2014-10-30 東京エレクトロン株式会社 Ge−Sb−Te膜の成膜方法
US9109281B2 (en) 2008-06-25 2015-08-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
KR100888617B1 (ko) * 2007-06-15 2009-03-17 삼성전자주식회사 상변화 메모리 장치 및 그 형성 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
SG152203A1 (en) * 2007-10-31 2009-05-29 Advanced Tech Materials Amorphous ge/te deposition process
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
EP2860279A1 (en) 2008-04-25 2015-04-15 ASM International N.V. Synthesis of precursors for ALD of tellurium and selenium thin films
US8507040B2 (en) 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8765223B2 (en) 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8148580B2 (en) 2009-04-15 2012-04-03 Micron Technology, Inc. Methods of forming a tellurium alkoxide and methods of forming a mixed halide-alkoxide of tellurium
US8058095B2 (en) 2009-06-23 2011-11-15 Micron Technology, Inc. Encapsulated phase change cell structures and methods
JP5731519B2 (ja) 2009-10-26 2015-06-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Va族元素を含む薄膜のaldのための前駆体の合成及び使用
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US8148197B2 (en) 2010-07-27 2012-04-03 Micron Technology, Inc. Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same
CN102376884B (zh) * 2010-08-24 2013-10-09 中芯国际集成电路制造(上海)有限公司 相变存储器相变层的制作方法
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
EP3821057A1 (en) * 2018-07-12 2021-05-19 Basf Se Process for the generation of metal- or semimetal-containing films
CN110010764B (zh) * 2019-02-27 2022-09-27 江苏理工学院 一种CH/Sb纳米复合多层相变薄膜材料及其制备方法和应用
US11716861B2 (en) 2020-12-15 2023-08-01 Micron Technology, Inc. Electrically formed memory array using single element materials

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087674A (en) * 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
US6242771B1 (en) * 1998-01-02 2001-06-05 Sharp Laboratories Of America, Inc. Chemical vapor deposition of PB5GE3O11 thin film for ferroelectric applications
US6451222B1 (en) * 1999-12-16 2002-09-17 Honeywell International Inc. Ferroelectric composition, ferroelectric vapor deposition target and method of making a ferroelectric vapor deposition target
US20060172067A1 (en) * 2005-01-28 2006-08-03 Energy Conversion Devices, Inc Chemical vapor deposition of chalcogenide materials
EP1739795B1 (en) * 2005-06-30 2007-12-26 Sumitomo Wiring Systems, Ltd. A connector, a connector assembly and assembling method therefor
US7626049B2 (en) * 2006-04-18 2009-12-01 E. I. Du Pont De Nemours And Company Stabilized divalent germanium and tin compounds, processes for making the compounds, and processes using the compounds

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9109281B2 (en) 2008-06-25 2015-08-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
JP2012514635A (ja) * 2009-01-08 2012-06-28 テクノ セミケム シーオー., エルティーディー. アミジン誘導体をリガンドとする新規ゲルマニウム化合物及びその製造方法
JP2010159471A (ja) * 2009-01-09 2010-07-22 Tokyo Electron Ltd Ge−Sb−Te系膜の成膜方法および記憶媒体
US8765519B2 (en) 2009-04-15 2014-07-01 Micron Technology, Inc. Methods of forming phase change materials and methods of forming phase change memory circuitry
JP2012524406A (ja) * 2009-04-15 2012-10-11 マイクロン テクノロジー, インク. 相変化材料の形成方法ならびに相変化メモリ回路の形成方法
US8697486B2 (en) 2009-04-15 2014-04-15 Micro Technology, Inc. Methods of forming phase change materials and methods of forming phase change memory circuitry
US9269900B2 (en) 2009-04-15 2016-02-23 Micron Technology, Inc. Methods of depositing phase change materials and methods of forming memory
US8372688B2 (en) 2009-06-09 2013-02-12 Tokyo Electron Limited Method for forming Ge-Sb-Te film and storage medium
JP2010287615A (ja) * 2009-06-09 2010-12-24 Tokyo Electron Ltd Ge−Sb−Te膜の成膜方法および記憶媒体
KR101361984B1 (ko) 2009-06-09 2014-02-11 도쿄엘렉트론가부시키가이샤 저마늄-안티모니-텔루륨 막의 성막 방법 및 기억 매체
WO2010143570A1 (ja) * 2009-06-09 2010-12-16 東京エレクトロン株式会社 Ge-Sb-Te膜の成膜方法および記憶媒体
US8927060B2 (en) 2009-06-11 2015-01-06 Tokyo Electron Limited Method for forming Ge-Sb-Te film and storage medium
KR101349100B1 (ko) 2009-06-11 2014-01-08 도쿄엘렉트론가부시키가이샤 Ge-Sb-Te막의 성막 방법 및 기억 매체
JP2010287705A (ja) * 2009-06-11 2010-12-24 Tokyo Electron Ltd Ge−Sb−Te膜の成膜方法および記憶媒体
KR20130115256A (ko) 2010-09-29 2013-10-21 도쿄엘렉트론가부시키가이샤 Ge-Sb-Te막의 성막 방법 및 기억 매체
US9187822B2 (en) 2010-09-29 2015-11-17 Tokyo Electron Limited Method for forming Ge-Sb-Te film and storage medium
JP2014084506A (ja) * 2012-10-24 2014-05-12 Hitachi Kokusai Electric Inc 基板処理方法、基板処理装置およびプログラム
JP2014205921A (ja) * 2014-07-16 2014-10-30 東京エレクトロン株式会社 Ge−Sb−Te膜の成膜方法

Also Published As

Publication number Publication date
CN101165934A (zh) 2008-04-23
US7902048B2 (en) 2011-03-08
US20080108175A1 (en) 2008-05-08
KR101263822B1 (ko) 2013-05-13
KR20080035864A (ko) 2008-04-24

Similar Documents

Publication Publication Date Title
JP2008103731A (ja) 相変化メモリ素子の製造方法及びこれに適用された相変化層の形成方法
KR101275799B1 (ko) 저온 증착이 가능한 게르마늄 전구체를 이용한 상변화층형성방법 및 이 방법을 이용한 상변화 메모리 소자의 제조방법
US7622383B2 (en) Methods of forming conductive polysilicon thin films via atomic layer deposition and methods of manufacturing semiconductor devices including such polysilicon thin films
KR100757415B1 (ko) 게르마늄 화합물 및 그 제조 방법, 상기 게르마늄 화합물을이용한 상변화 메모리 장치 및 그 형성 방법
KR100829602B1 (ko) 상변화 물질층 형성 방법 및 상변화 메모리 장치의 제조방법
US7807497B2 (en) Phase-change material layers, methods of forming the same, phase-change memory devices having the same, and methods of forming phase-change memory devices
KR100871692B1 (ko) 저온 증착용 금속 전구체, 그를 사용한 금속 박막 형성방법 및 상변화 메모리 소자 제조 방법
KR101622327B1 (ko) 상변화 메모리 소자들에서 전극들의 기상 제조 방법들
JP7140476B2 (ja) アルミニウム化合物を利用した薄膜形成方法、並びに集積回路素子の製造方法
US20070054475A1 (en) Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
CN107026072B (zh) 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
US20080194106A1 (en) Method of forming a titanium aluminum nitride layer and method of manufacturing a phase-change memory device using the same
JP5334400B2 (ja) 相変化層の表面処理工程を含む相変化メモリ素子の製造方法
US20140073084A1 (en) Methods of Forming Phase Change Materials and Methods of Forming Phase Change Memory Circuitry
US20090233439A1 (en) Method of forming an ohmic layer and method of forming a metal wiring of a semiconductor device using the same
WO2010120459A2 (en) Methods of forming a tellurium alkoxide and methods of forming a mixed halide-alkoxide of tellurium
JPWO2004066388A1 (ja) 強誘電体キャパシタおよびその製造方法
KR20050002051A (ko) 도핑효율을 증대시킨 엠피에스 구조의 캐패시터 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101007

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A073

Effective date: 20120214