CN107026072B - 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法 - Google Patents

形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法 Download PDF

Info

Publication number
CN107026072B
CN107026072B CN201610986776.4A CN201610986776A CN107026072B CN 107026072 B CN107026072 B CN 107026072B CN 201610986776 A CN201610986776 A CN 201610986776A CN 107026072 B CN107026072 B CN 107026072B
Authority
CN
China
Prior art keywords
niobium
forming
amd
film
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610986776.4A
Other languages
English (en)
Other versions
CN107026072A (zh
Inventor
林载顺
朴圭熙
曹仑延
克雷门.兰萨洛
卢沅泰
J.利弗莱格
李柱澔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
George Cloud Method Research And Development Liquid Gas Co ltd
Samsung Electronics Co Ltd
Original Assignee
George Cloud Method Research And Development Liquid Gas Co ltd
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by George Cloud Method Research And Development Liquid Gas Co ltd, Samsung Electronics Co Ltd filed Critical George Cloud Method Research And Development Liquid Gas Co ltd
Publication of CN107026072A publication Critical patent/CN107026072A/zh
Application granted granted Critical
Publication of CN107026072B publication Critical patent/CN107026072B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

本文中公开了形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法。所述形成薄膜的方法包括通过使用铌前体成分和反应物形成含铌的膜,所述铌前体成分包括由式(1)表示的铌化合物,其中R各自独立地为H、C1‑C6烷基或R1 3Si,其中R1各自独立地为H或C1‑C6烷基,Cp为环戊二烯基,和L选自甲脒化物(NR,R'‑fmd)、脒化物(NR,R',R″‑amd)、和胍化物(NR,R',NR″,R″′‑gnd)。式(1)Nb(R5Cp)2(L)。

Description

形成薄膜的方法、制造集成电路器件的方法和形成半导体器 件的方法
相关申请的交叉引用
将2015年11月30日在韩国知识产权局提交且标题为“使用铌化合物形成薄膜和制造集成电路器件的方法”的韩国专利申请No.10-2015-0169058全部引入本文中作为参考。
技术领域
实施方式涉及使用铌化合物形成薄膜和制造集成电路器件的方法。
背景技术
由于电子技术的发展,近年来半导体器件的小型化(down-scaling)正在迅速地进行,因此构成电子器件的图案正在变得更精细。
发明内容
实施方式涉及形成薄膜的方法,所述方法包括通过使用铌前体成分和反应物在基底上形成含铌的膜,所述铌前体成分(组合物)包括由式(1)表示的铌化合物:
式(1)
Nb(R5Cp)2(L)
其中,在式(1)中,
R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基,
Cp为环戊二烯基,和
L为甲脒化物(甲脒基)、脒化物(脒基)、或胍化物(胍基)。
实施方式还涉及制造集成电路器件的方法,所述方法包括:在基底上形成下部结构体,和通过使用铌前体成分和反应物在所述下部结构体上形成含铌的膜,所述铌前体成分包括由式(1)表示的铌化合物:
式(1)
Nb(R5Cp)2(L)
其中,在式(1)中,
R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基,
Cp为环戊二烯基,和
L为甲脒化物、脒化物、或胍化物。
实施方式还涉及形成半导体器件的方法,所述方法包括:蒸发(气化)由式(1)表示的铌化合物并且将蒸发的铌化合物供应至基底,和使所述铌化合物与含氮反应物反应以在所述基底上形成导电的铌氮化物层,
Nb(R5Cp)2(L) 式(1)
其中,在式(1)中,
R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基,
Cp为环戊二烯基,和
L为甲脒化物、脒化物、或胍化物。
附图说明
通过参照附图详细描述示例性实施方式,特征将对本领域技术人员变得明晰,在附图中:
图1说明双环戊二烯基二异丙基乙脒基铌(NbCp2(NiPr Me-amd))的1H-NMR(质子核磁共振)谱;
图2说明描绘NbCp2(NiPr Me-amd)的随着升高的温度的重量损失的百分数的热重分析(TGA)图;
图3说明双环戊二烯基二异丙基戊脒基铌(NbCp2(NiPr nBu-amd))的1H-NMR谱;
图4说明描绘NbCp2(NiPr nBu-amd)的随着升高的温度的重量损失的百分数的TGA图;
图5说明描绘在300℃-450℃的温度下在没有反应物的情况下使用NbCp2(NiPrnBu-amd)的薄膜的沉积速率的图;
图6说明在350℃下根据NbCp2(NiPr nBu-amd)前体的供应时间的NbN薄膜的生长速率的图;
图7说明描绘当使用NbCp2(NiPr nBu-amd)形成NbN薄膜时根据腔室温度的NbN薄膜的生长速率的图;
图8说明显示使用NbCp2(NiPr nBu-amd)在350℃下沉积的薄膜的X射线光电子能谱法(XPS)分析的结果的图;
图9说明显示使用NbCp2(NiPr nBu-amd)在375℃下沉积的薄膜的XPS分析的结果的图;
图10说明双甲基环戊二烯基二异丙基乙脒基铌(Nb(MeCp)2(NiPr Me-amd))的1H-NMR谱;
图11说明描绘Nb(MeCp)2(NiPr Me-amd)的随着升高的温度的重量损失的百分数的TGA图;
图12说明双甲基环戊二烯基二异丙基戊脒基铌(Nb(MeCp)2(NiPr nBu-amd))的1H-NMR谱;
图13说明描绘Nb(MeCp)2(NiPr nBu-amd)的随着升高的温度的重量损失的百分数的TGA图;
图14说明双甲基环戊二烯基叔丁基乙基脒基铌(Nb(MeCp)2(NtBu,Et Me-amd))的1H-NMR谱;
图15说明描绘(Nb(MeCp)2(NtBu,Et Me-amd))的随着升高的温度的重量损失的百分数的TGA图;
图16说明双乙基环戊二烯基二异丙基乙脒基铌(Nb(EtCp)2(NiPr Me-amd))的1H-NMR谱;
图17说明描绘Nb(EtCp)2(NiPr Me-amd)的随着升高的温度的重量损失的百分数的TGA图;
图18说明描绘使用Nb(EtCp)2(NiPr Me-amd)的NbN薄膜的沉积速率的图;
图19说明显示使用Nb(EtCp)2(NiPr Me-amd)在350℃下形成的NbN薄膜的XPS分析的结果的图;
图20说明描绘使用Nb(EtCp)2(NiPr Me-amd)在400℃下形成的NbN薄膜的XPS分析的结果的图;
图21说明双异丙基环戊二烯基二异丙基乙脒基铌(Nb(iPrCp)2(NiPr Me-amd))的1H-NMR谱;
图22说明描绘Nb(iPrCp)2(NiPr Me-amd)的随着升高的温度的重量损失的百分数的TGA图;
图23A-23J说明根据过程顺序显示的集成电路器件的截面图,以阐明根据实施方式的制造集成电路器件的方法;
图24A-24C说明用于阐明根据其它实施方式的制造集成电路器件的方法的图,图24A是意图形成的集成电路器件的平面图(俯视图),图24B是图24A的集成电路器件的透视图,且图24C分别显示沿着图24A的线X-X'和Y-Y'所取的集成电路器件的截面图;和
图25说明显示根据实施方式的电子器件的主要组件的框图。
具体实施方式
现将参照附图在下文中更全面地描述示例实施方式;然而,它们可以不同的形式体现并且不应被解释为限于本文中阐明的实施方式。相反,提供这些实施方式,使得该公开内容彻底且完整,并将示例性实施全面地传达给本领域技术人员。在附图中,为了清楚说明,可放大层和区域的尺寸。相同的附图标记始终指相同的元件。
如本文中使用的,术语“大约”或“约”指的是所陈述的值的±10%的范围。在本文中可使用来自元素周期表的元素的标准缩写。例如,Nb指的是铌,N指的是氮,且C指的是碳。如本文中使用,术语“独立地”当用在描述R基团的情况下时,应理解为表示目标R基团不仅相对于具有相同或不同下标或上标的其它R基团独立地选择,而且相对于相同的R基团的任何另外的种类也独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x为2或3,两个或三个R1基团可彼此相同或可彼此不相同。
如本文中使用的,术语“烷基”指的是仅含有碳和氢原子的饱和官能团。另外,术语“烷基”指的是线性、支化或环状烷基。线性烷基的实例可包括甲基、乙基、丙基、丁基等,而不限于此。支化烷基的实例可包括叔丁基,而不限于此。环状烷基的实例可包括环丙基、环戊基、环己基等,而不限于此。
如本文中使用的,缩写“Me”指的是甲基;缩写“Et”指的是乙基;缩写“Pr”指的是丙基;缩写“nPr”指的是正丙基或线性丙基;缩写“iPr”指的是异丙基;缩写“Bu”指的是丁基;缩写“nBu”指的是正丁基或线性丁基;缩写“tBu”指的是叔丁基(1,1-二甲基乙基);缩写“sBu”指的是仲丁基(1-甲基丙基);缩写“iBu”指的是异丁基(2-甲基丙基);术语“戊基”指的是戊基;且缩写“tAmyl”或“tAm”指的是叔戊基(1,1-二甲基丙基)。
如本文中使用的,缩写“Cp”指的是环戊二烯基;缩写“Cp*”指的是五甲基环戊二烯基;且缩写“TMS”指的是三甲基硅烷基(Me3Si-)。
如本文中使用的,缩写“NR,R'-fmd”或“NR-fmd”(当R=R'时)指的是如化学式4表示的甲脒化物配体[R-N-C(H)=N-R'],其中R和R'为烷基,例如Me、Et、nPr、iPr、nBu、iBu、sBu或tBu。
[化学式4]
Figure BDA0001148579140000051
如本文中使用的,缩写“NR,R'R”-amd”或“NR R”-amd”(当R=R'时)指的是如化学式5表示的脒化物配体[R-N-C(R”)=N-R'],其中R、R'和R”为烷基,例如Me、Et、nPr、iPr、nBu、iBu、sBu或tBu。
[化学式5]
Figure BDA0001148579140000052
如本文中使用的,缩写“NR,R',NR”,R”'-gnd”或“NR,NR”-gnd”(当R=R'且R”=R”')时指的是如化学式6表示的胍化物配体[R-N-C(NR”R”')=NR'],其中R、R'、R”和R”'为烷基,例如Me、Et、nPr、iPr、nBu、iBu、sBu或tBu。
[化学式6]
Figure BDA0001148579140000053
在化学式4、5和6中,M为中心金属,例如Nb。
在本文中,尽管上述配体显示为在配体骨架的C和N之间具有双键,但是本领域技术人员将认识到甲脒化物、脒化物、和胍化物配体各自不包括固定的双键,并且一个电子在N-C-N链中是不定域的。
如本文中使用的,“基本上由所述由式(1)表示的铌化合物组成”意味着可存在特定的另外组分,即实质上不影响由式(1)表示的铌化合物的基本特性的那些。
根据示例实施方式,铌化合物可由式(1)表示:
式(1)
Nb(R5Cp)2(L)
其中,在R5Cp
Figure BDA0001148579140000061
中,R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基;且Cp为环戊二烯基。所述烷基可为甲基、乙基、丙基、丁基或戊基。L选自甲脒化物(NR,R'-fmd)、脒化物(NR,R'R”-amd)、和胍化物(NR,R',NR,R'-gnd)。
在一些实施方式中,L为甲脒化物,且根据式(1)的铌化合物可由化学式1表示:
[化学式1]
Figure BDA0001148579140000062
其中R和R'各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自如上所定义。
根据化学式1的铌化合物可由式(2)表示:
式(2)
Nb(R5Cp)2(NR,R'-fmd)
其中R和R'各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基。当R=R'时,式(2)可表示为Nb(R5Cp)2(NR-fmd)。
在一些实施方式中,根据化学式1的铌化合物可为Nb(Cp)2(NMe-fmd)、Nb(Cp)2(NEt-fmd)、Nb(Cp)2(NiPr-fmd)、Nb(Cp)2(NnPr-fmd)、Nb(Cp)2(NiBu-fmd)、Nb(Cp)2(NnBu-fmd)、Nb(Cp)2(NtBu-fmd)、Nb(Cp)2(NsBu-fmd)、Nb(Cp)2(NtAm-fmd)、Nb(Cp)2(NTMS-fmd)、Nb(MeCp)2(NMe-fmd)、Nb(MeCp)2(NEt-fmd)、Nb(MeCp)2(NiPr-fmd)、Nb(MeCp)2(NnPr-fmd)、Nb(MeCp)2(NiBu-fmd)、Nb(MeCp)2(NnBu-fmd)、Nb(MeCp)2(NtBu-fmd)、Nb(MeCp)2(NsBu-fmd)、Nb(MeCp)2(NtAm-fmd)、Nb(MeCp)2(NTMS-fmd)、Nb(EtCp)2(NMe-fmd)、Nb(EtCp)2(NEt-fmd)、Nb(EtCp)2(NiPr-fmd)、Nb(EtCp)2(NnPr-fmd)、Nb(EtCp)2(NiBu-fmd)、Nb(EtCp)2(NnBu-fmd)、Nb(EtCp)2(NtBu-fmd)、Nb(EtCp)2(NsBu-fmd)、Nb(EtCp)2(NtAm-fmd)、Nb(EtCp)2(NTMS-fmd)、Nb(iPrCp)2(NMe-fmd)、Nb(iPrCp)2(NEt-fmd)、Nb(iPrCp)2(NiPr-fmd)、Nb(iPrCp)2(NnPr-fmd)、Nb(iPrCp)2(NiBu-fmd)、Nb(iPrCp)2(NnBu-fmd)、Nb(iPrCp)2(NtBu-fmd)、Nb(iPrCp)2(NsBu-fmd)、Nb(iPrCp)2(NtAm-fmd)、Nb(iPrCp)2(NTMS-fmd)、Nb(tBuCp)2(NMe-fmd)、Nb(tBuCp)2(NEt-fmd)、Nb(tBuCp)2(NiPr-fmd)、Nb(tBuCp)2(NnPr-fmd)、Nb(tBuCp)2(NiBu-fmd)、Nb(tBuCp)2(NnBu-fmd)、Nb(tBuCp)2(NtBu-fmd)、Nb(tBuCp)2(NsBu-fmd)、Nb(tBuCp)2(NtAm-fmd)、Nb(tBuCp)2(NTMS-fmd)、Nb(iPr3Cp)2(NMe-fmd)、Nb(iPr3Cp)2(NEt-fmd)、Nb(iPr3Cp)2(NiPr-fmd)、Nb(iPr3Cp)2(NnPr-fmd)、Nb(iPr3Cp)2(NiBu-fmd)、Nb(iPr3Cp)2(NnBu-fmd)、Nb(iPr3Cp)2(NtBu-fmd)、Nb(iPr3Cp)2(NsBu-fmd)、Nb(iPr3Cp)2(NtAm-fmd)、Nb(iPr3Cp)2(NTMS-fmd)、Nb(Cp*)2(NMe-fmd)、Nb(Cp*)2(NEt-fmd)、Nb(Cp*)2(NiPr-fmd)、Nb(Cp*)2(NnPr-fmd)、Nb(Cp*)2(NiBu-fmd)、Nb(Cp*)2(nBu-fmd)、Nb(Cp*)2(tBu-fmd)、Nb(Cp*)2(NsBu-fmd)、Nb(Cp*)2(NtAm-fmd)、Nb(Cp*)2(NTMS-fmd)、Nb(Me3SiCp)2(NMe-fmd)、Nb(Me3SiCp)2(NEt-fmd)、Nb(Me3SiCp)2(NiPr-fmd)、Nb(Me3SiCp)2(NnPr-fmd)、Nb(Me3SiCp)2(NiBu-fmd)、Nb(Me3SiCp)2(NnBu-fmd)、Nb(Me3SiCp)2(NtBu-fmd)、Nb(Me3SiCp)2(NsBu-fmd)、Nb(Me3SiCp)2(NtAm-fmd)、Nb(Me3SiCp)2(NTMS-fmd)、Nb(Cp)(Cp*)(NMe-fmd)、Nb(Cp)(iPr3Cp)(NMe-fmd)、Nb(Cp)(MeCp)(NEt-fmd)、Nb(Cp)(EtCp)(NiPr-fmd)、Nb(Cp)(iPrCp)(NnPr-fmd)、Nb(Cp)(nPrCp)(NiBu-fmd)、Nb(Cp)(iBuCp)(NnBu-fmd)、Nb(Cp)(tBuCp)(NtBu-fmd)、Nb(Cp)(tAmCp)(NsBu-fmd)、Nb(iPr3Cp)(Cp)(NEt-fmd)、Nb(Cp)2(NEt,tBu-fmd)、Nb(MeCp)2(NEt,tBu-fmd)、Nb(EtCp)2(NEt,tBu-fmd)、Nb(iPrCp)2(NEt,tBu-fmd)、Nb(tBuCp)2(NEt,tBu-fmd)、Nb(iPr3Cp)2(NEt,tBu-fmd)、Nb(Cp*)(NEt,tBu-fmd)、或Nb(Me3SiCp)2(NEt,tBu-fmd)。
可通过例如使Nb(R5Cp)2X2与2当量的Z(NR,R'-fmd)反应而合成根据化学式1的铌化合物。这里,X可选自卤素元素F、Cl、Br和I;Z可选自碱金属元素Li、Na和K;且R和R'可各自独立地为H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、tAmyl、SiMe3、SiMe2H、或SiH2Me。Nb(R5Cp)2X2可如J.C.S.Dalton 1980,180-186中所述地合成。可通过烷基碱金属例如正丁基锂(nBuLi)与甲脒分子的反应而合成Z(NR,R'-fmd)。甲脒分子可根据Organometallics 2004,23,3512-3520中描述的程序合成。可在低于-50℃的低温下添加反应物。反应可在极性溶剂例如THF或二乙醚中进行。可通过使用非极性溶剂如戊烷、己烷、环己烷、庚烷、苯、甲苯等萃取而将铌化合物从碱金属盐分离。可通过真空升华,通过真空蒸馏,或通过在选自THF、二乙醚、戊烷、己烷、环己烷、庚烷、苯、甲苯及其混合物的适当溶剂中重结晶,纯化包括合成的铌化合物的所得产物。
在一些实施方式中,根据化学式1的铌化合物在室温下可为液体。如本文中使用的,术语“室温”指的是范围约20℃-约28℃的温度,且可随季节而变化。
在一些实施方式中,L为脒化物,且根据式(1)的铌化合物可由化学式2表示:
[化学式2]
Figure BDA0001148579140000081
其中R、R'和R”各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自如上所定义。所述烷基可为甲基、乙基、丙基、丁基或戊基。
根据化学式2的铌化合物可由式(3)表示:
式(3)
Nb(R5Cp)2(NR,R'R”-amd)
其中R、R'和R”各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自如上所定义。当R=R'时,式(3)可表示为Nb(R5Cp)2(NR R”-amd)。
在一些实施方式中,根据化学式2的铌化合物可为Nb(Cp)2(NMe Me-amd)、Nb(Cp)2(NEt Me-amd)、Nb(Cp)2(NiPr Me-amd)、Nb(Cp)2(NnPr Me-amd)、Nb(Cp)2(NiBu Me-amd)、Nb(Cp)2(NnBu Me-amd)、Nb(Cp)2(NtBu Me-amd)、Nb(Cp)2(NsBu Me-amd)、Nb(Cp)2(NtAm Me-amd)、Nb(Cp)2(NTMS Me-amd)、Nb(MeCp)2(NMe Me-amd)、Nb(MeCp)2(NEt Me-amd)、Nb(MeCp)2(NiPrMe-amd)、Nb(MeCp)2(NnPr Me-amd)、Nb(MeCp)2(NiBu Me-amd)、Nb(MeCp)2(NnBu Me-amd)、Nb(MeCp)2(NtBu Me-amd)、Nb(MeCp)2(NsBu Me-amd)、Nb(MeCp)2(NtAm Me-amd)、Nb(MeCp)2(NTMSMe-amd)、Nb(EtCp)2(NMe Me-amd)、Nb(EtCp)2(NEt Me-amd)、Nb(EtCp)2(NiPr Me-amd)、Nb(EtCp)2(NnPr Me-amd)、Nb(EtCp)2(NiBu Me-amd)、Nb(EtCp)2(NnBu Me-amd)、Nb(EtCp)2(NtBuMe-amd)、Nb(EtCp)2(NsBu Me-amd)、Nb(EtCp)2(NtAm Me-amd)、Nb(EtCp)2(NTMS Me-amd)、Nb(iPrCp)2(NMe Me-amd)、Nb(iPrCp)2(NEt Me-amd)、Nb(iPrCp)2(NiPr Me-amd)、Nb(iPrCp)2(NnPr Me-amd)、Nb(iPrCp)2(NiBu Me-amd)、Nb(iPrCp)2(NnBu Me-amd)、Nb(iPrCp)2(NtBu Me-amd)、Nb(iPrCp)2(NsBu Me-amd)、Nb(iPrCp)2(NtAm Me-amd)、Nb(iPrCp)2(NTMS Me-amd)、Nb(tBuCp)2(NMe Me-amd)、Nb(tBuCp)2(NEt Me-amd)、Nb(tBuCp)2(NiPr Me-amd)、Nb(tBuCp)2(NnPr Me-amd)、Nb(tBuCp)2(NiBu Me-amd)、Nb(tBuCp)2(NnBu Me-amd)、Nb(tBuCp)2(NtBu Me-amd)、Nb(tBuCp)2(NsBu Me-amd)、Nb(tBuCp)2(NtAm Me-amd)、Nb(tBuCp)2(NTMS Me-amd)、Nb(iPr3Cp)2(NMe Me-amd)、Nb(iPr3Cp)2(NEt Me-amd)、Nb(iPr3Cp)2(NiPr Me-amd)、Nb(iPr3Cp)2(NnPr Me-amd)、Nb(iPr3Cp)2(NiBu Me-amd)、Nb(iPr3Cp)2(NnBu Me-amd)、Nb(iPr3Cp)2(NtBuMe-amd)、Nb(iPr3Cp)2(NsBu Me-amd)、Nb(iPr3Cp)2(NtAm Me-amd)、Nb(iPr3Cp)2(NTMS Me-amd)、Nb(Cp*)2(NMe Me-amd)、Nb(Cp*)2(NEt Me-amd)、Nb(Cp*)2(NiPr Me-amd)、Nb(Cp*)2(NnPrMe-amd)、Nb(Cp*)2(NiBu Me-amd)、Nb(Cp*)2(nBu Me-amd)、Nb(Cp*)2(tBu Me-amd)、Nb(Cp*)2(NsBu Me-amd)、Nb(Cp*)2(NtAm Me-amd)、Nb(Cp*)2(NTMS Me-amd)、Nb(Me3SiCp)2(NMe Me-amd)、Nb(Me3SiCp)2(NEt Me-amd)、Nb(Me3SiCp)2(NiPr Me-amd)、Nb(Me3SiCp)2(NnPr Me-amd)、Nb(Me3SiCp)2(NiBu Me-amd)、Nb(Me3SiCp)2(NnBu Me-amd)、Nb(Me3SiCp)2(NtBu Me-amd)、Nb(Me3SiCp)2(NsBu Me-amd)、Nb(Me3SiCp)2(NtAm Me-amd)、Nb(Me3SiCp)2(NTMS Me-amd)、Nb(Cp)(Cp*)(NMe Me-amd)、Nb(Cp)(iPr3Cp)(NMe Me-amd)、Nb(Cp)(MeCp)(NEt Me-amd)、Nb(Cp)(EtCp)(NiPr Me-amd)、Nb(Cp)(iPrCp)(NnPr Me-amd)、Nb(Cp)(nPrCp)(NiBu Me-amd)、Nb(Cp)(iBuCp)(NnBu Me-amd)、Nb(Cp)(tBuCp)(NtBu Me-amd)、Nb(Cp)(tAmCp)(NsBu Me-amd)、Nb(Cp)2(NiPr Et-amd)、Nb(Cp)2(NiPr nPr-amd)、Nb(Cp)2(NiPr iPr-amd)、Nb(Cp)2(NiPr tBu-amd)、Nb(Cp)2(NiPr nBu-amd)、Nb(Cp)2(NiPr iBu-amd)、Nb(Cp)2(NiPr sBu-amd)、Nb(MeCp)2(NiPr Et-amd)、Nb(MeCp)2(NiPr nPr-amd)、Nb(MeCp)2(NiPr iPr-amd)、Nb(MeCp)2(NiPr tBu-amd)、Nb(MeCp)2(NiPr nBu-amd)、Nb(MeCp)2(NiPr iBu-amd)、Nb(MeCp)2(NiPr sBu-amd)、Nb(EtCp)2(NiPr Et-amd)、Nb(EtCp)2(NiPr nPr-amd)、Nb(EtCp)2(NiPr iPr-amd)、Nb(EtCp)2(NiPr tBu-amd)、Nb(EtCp)2(NiPr nBu-amd)、Nb(EtCp)2(NiPr iBu-amd)、Nb(EtCp)2(NiPr sBu-amd)、Nb(MeCp)2(NEt,tBu Me-amd)、Nb(EtCp)2(NEt,tBu Me-amd)、Nb(iPrCp)2(NEt,tBu Me-amd)、Nb(tBuCp)2(NEt,tBu Me-amd)、Nb(iPr3Cp)2(NEt,tBu Me-amd)、Nb(Cp*)2(NEt,tBu Me-amd)、Nb(Me3SiCp)2(NEt,tBu Me-amd)、Nb(Cp)(iPr3Cp)(NiPr Me-amd),Nb(Cp)2(NiPr sBu-amd)、Nb(iPr3Cp)2(NiPr Et-amd),Nb(iPr3Cp)2(NiPr nPr-amd)、Nb(iPr3Cp)2(NiPr nPr-amd)、Nb(iPr3Cp)2(NiPr iPr-amd)、Nb(iPr3Cp)2(NiPr nBu-amd)、Nb(iPr3Cp)2(NiPr tBu-amd)、Nb(iPr3Cp)2(NiPr sBu-amd)或Nb(iPr3Cp)2(NiPr iBu-amd)。
可通过使Nb(R5Cp)2X2与2当量的Z(NR,R'R”-amd)反应而合成根据化学式2的铌化合物。这里,X可选自由F、Cl、Br和I组成的卤素元素;Z可选自由Li、Na和K组成的碱金属元素;且R、R'和R”可各自独立地为H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、tAmyl、SiMe3、SiMe2H、或SiH2Me。Nb(R5Cp)2X2可如J.C.S.Dalton 1980,180-186中所述地合成。Z(NR,R'R”-amd)可如Organometallics 1997,16,5183-5194中所述地制备。可在-50℃的低温下添加反应物。反应可在极性溶剂例如THF或二乙醚中进行。可通过使用非极性溶剂如戊烷、己烷、环己烷、庚烷、苯、甲苯等萃取而将铌化合物从碱金属盐分离。可通过真空升华,通过真空蒸馏,或通过在选自THF、二乙醚、戊烷、己烷、环己烷、庚烷、苯、甲苯及其混合物的适当溶剂中重结晶,纯化包括合成的铌化合物的所得产物。
在一些实施方式中,根据化学式2的铌化合物在室温下可为液体。
在一些实施方式中,L为胍化物,且根据式(1)的铌化合物可由化学式3表示:
[化学式3]
Figure BDA0001148579140000111
其中R、R'、R”和R”'各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自如上所定义。所述烷基可为甲基、乙基、丙基、丁基或戊基。
根据化学式3的铌化合物可由式(4)表示:
式(4)
Nb(R5Cp)2(NR,R',NR”,R”'-gnd)
其中R、R'、R”和R”'各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自如上所定义。当R=R'且R”=R”'时,式(4)可表示为Nb(R5Cp)2(NR,NR”'-gnd)。
在一些实施方式中,根据化学式3的铌化合物可为Nb(Cp)2(NMe,NMe-gnd)、Nb(Cp)2(NEt,NMe-gnd)、Nb(Cp)2(NiPr,NMe-gnd)、Nb(Cp)2(NnPr,NMe-gnd)、Nb(Cp)2(NiBu,NMe-gnd)、Nb(Cp)2(NnBu,NMe-gnd)、Nb(Cp)2(NtBu,NMe-gnd)、Nb(Cp)2(NsBu,NMe-gnd)、Nb(Cp)2(NtAm,NMe-gnd)、Nb(Cp)2(NTMS,NMe-gnd)、Nb(Cp)2(NEt,tBu,NMe-gnd)、Nb(MeCp)2(NMe,NMe-gnd)、Nb(MeCp)2(NEt,NMe-gnd)、Nb(MeCp)2(NiPr,NMe-gnd)、Nb(MeCp)2(NnPr,NMe-gnd)、Nb(MeCp)2(NiBu,NMe-gnd)、Nb(MeCp)2(NnBu,NMe-gnd)、Nb(MeCp)2(NtBu,NMe-gnd)、Nb(MeCp)2(NsBu,NMe-gnd)、Nb(MeCp)2(NtAm,NMe-gnd)、Nb(MeCp)2(NTMS,NMe-gnd)、Nb(MeCp)2(NEt,tBu,NMe-gnd)、Nb(EtCp)2(NMe,NMe-gnd)、Nb(EtCp)2(NEt,NMe-gnd)、Nb(EtCp)2(NiPr,NMe-gnd)、Nb(EtCp)2(NnPr,NMe-gnd)、Nb(EtCp)2(NiBu,NMe-gnd)、Nb(EtCp)2(NnBu,NMe-gnd)、Nb(EtCp)2(NtBu,NMe-gnd)、Nb(EtCp)2(NsBu,NMe-gnd)、Nb(EtCp)2(NtAm,NMe-gnd)、Nb(EtCp)2(NTMS,NMe-gnd)、Nb(EtCp)2(NEt,tBu,NMe-gnd)、Nb(iPrCp)2(NMe,NMe-gnd)、Nb(iPrCp)2(NEt,NMe-gnd)、Nb(iPrCp)2(NiPr,NMe-gnd)、Nb(iPrCp)2(NnPr,NMe-gnd)、Nb(iPrCp)2(NiBu,NMe-gnd)、Nb(iPrCp)2(NnBu,NMe-gnd)、Nb(iPrCp)2(NtBu,NMe-gnd)、Nb(iPrCp)2(NsBu,NMe-gnd)、Nb(iPrCp)2(NtAm,NMe-gnd)、Nb(iPrCp)2(NTMS,NMe-gnd)、Nb(iPrCp)2(NEt,tBu,NMe-gnd)、Nb(tBuCp)2(NMe,NMe-gnd)、Nb(tBuCp)2(NEt,NMe-gnd)、Nb(tBuCp)2(NiPr,NMe-gnd)、Nb(tBuCp)2(NnPr,NMe-gnd)、Nb(tBuCp)2(NiBu,NMe-gnd)、Nb(tBuCp)2(NnBu,NMe-gnd)、Nb(tBuCp)2(NtBu,NMe-gnd)、Nb(tBuCp)2(NsBu,NMe-gnd)、Nb(tBuCp)2(NtAm,NMe-gnd)、Nb(tBuCp)2(NTMS,NMe-gnd)、Nb(tBuCp)2(NEt,tBu,NMe-gnd)、Nb(iPr3Cp)2(NMe,NMe-gnd)、Nb(iPr3Cp)2(NEt,NMe-gnd)、Nb(iPr3Cp)2(NiPr,NMe-gnd)、Nb(iPr3Cp)2(NnPr,NMe-gnd)、Nb(iPr3Cp)2(NiBu,NMe-gnd)、Nb(iPr3Cp)2(NnBu,NMe-gnd)、Nb(iPr3Cp)2(NtBu,NMe-gnd)、Nb(iPr3Cp)2(NsBu,NMe-gnd)、Nb(iPr3Cp)2(NtAm,NMe-gnd)、Nb(iPr3Cp)2(NTMS,NMe-gnd)、Nb(iPr3Cp)2(NEt,tBu,NMe-gnd)、Nb(Cp*)2(NMe,NMe-gnd)、Nb(Cp*)2(NEt,NMe-gnd)、Nb(Cp*)2(NiPr,NMe-gnd)、Nb(Cp*)2(NnPr,NMe-gnd)、Nb(Cp*)2(NiBu,NMe-gnd)、Nb(Cp*)2(NnBu,NMe-gnd)、Nb(Cp*)2(NtBu,NMe-gnd)、Nb(Cp*)2(NsBu,NMe-gnd)、Nb(Cp*)2(NtAm,NMe-gnd)、Nb(Cp*)2(NTMS,NMe-gnd)、Nb(Cp*)2(NEt,tBu,NMe-gnd)、Nb(Me3SiCp)2(NMe,NMe-gnd)、Nb(Me3SiCp)2(NEt,NMe-gnd)、Nb(Me3SiCp)2(NiPr,NMe-gnd)、Nb(Me3SiCp)2(NnPr,NMe-gnd)、Nb(Me3SiCp)2(NiBu,NMe-gnd)、Nb(Me3SiCp)2(NnBu,NMe-gnd)、Nb(Me3SiCp)2(NtBu,NMe-gnd)、Nb(Me3SiCp)2(NsBu,NMe-gnd)、Nb(Me3SiCp)2(NtAm,NMe-gnd)、Nb(Me3SiCp)2(NTMS,NMe-gnd)、Nb(Me3SiCp)2(NEt,tBu,NMe-gnd)、Nb(Cp)(iPr3Cp)(NMe,NMe-gnd)、Nb(Cp)(Cp*)(NMe,NMe-gnd)、Nb(Cp)(MeCp)(NEt,NMe-gnd)、Nb(Cp)(EtCp)(NiPr,NMe-gnd)、Nb(Cp)(iPrCp)(NnPr,NMe-gnd)、Nb(Cp)(nPrCp)(NiBu,NMe-gnd)、Nb(Cp)(iBuCp)(NnBu,NMe-gnd)、Nb(Cp)(tBuCp)(NtBu,NMe-gnd)、Nb(Cp)(tAmCp)(NsBu,NMe-gnd)、Nb(Cp)2(NiPr,NMe,Et-gnd)、Nb(Cp)2(NiPr,NEt-gnd)、Nb(Cp)2(NiPr,NnPr-gnd)、Nb(Cp)2(NiPr,NiPr-gnd)、Nb(MeCp)2(NiPr,NMe,Et-gnd)、Nb(MeCp)2(NiPr,NEt-gnd)、Nb(MeCp)2(NiPr,NnPr-gnd)、Nb(MeCp)2(NiPr,NiPr-gnd)、Nb(EtCp)2(NiPr,NMe,Et-gnd)、Nb(EtCp)2(NiPr,NEt-gnd)、Nb(EtCp)2(NiPr,NnPr-gnd)、或Nb(EtCp)2(NiPr,NiPr-gnd)。
可通过使Nb(R5Cp)2X2与2当量的Z(NR,R',NR”,R”'-gnd)反应而合成根据化学式3的铌化合物。这里,X可选自由F、Cl、Br和I组成的卤素元素;Z可选自由Li、Na和K组成的碱金属元素;且R、R'、R”和R”'可各自独立地为H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、tAmyl、SiMe3、SiMe2H、或SiH2Me。Nb(R5Cp)2X2可如J.C.S.Dalton 1980,180-186中所述地合成。Z(NR,R',NR ”,R”'-gnd)可如Organometallics 2008,27,1596-1604中所述地合成。可在-50℃的低温下合成铌化合物。反应可在极性溶剂例如THF或二乙醚中进行。可通过使用非极性溶剂如戊烷、己烷、环己烷、庚烷、苯、甲苯等萃取而将铌化合物从碱金属盐分离。可通过真空升华、通过真空蒸馏、或通过重结晶而纯化包括合成的铌化合物的所得产物。重结晶可在选自THF、二乙醚、戊烷、己烷、环己烷、庚烷、苯、甲苯及其混合物的适当溶剂中进行。
在一些实施方式中,根据化学式3的铌化合物在室温下可为液体。
根据示例实施方式,铌前体成分可包括由式(1)表示的铌化合物和杂质。所述杂质可包括有机化合物、金属或其组合。在一些实施方式中,所述杂质可包括0.01重量%(wt%)-2.0重量%的有机化合物,基于所述铌前体成分的总量。在一些其它实施方式中,所述杂质可包括0百万分率(按重量计)(ppmw)-1ppmw的有机化合物,基于所述铌前体成分的总量。
由式(1)表示的铌化合物可以等于或大于约95重量%(例如,约95重量%-约100.0重量%)的量存在于所述铌前体成分中。在一些实施方式中,由式(1)表示的铌化合物可以等于或大于约98重量%(例如,约98重量%-约100.0重量%)的量存在于所述铌前体成分中。在一些其它实施方式中,由式(1)表示的铌化合物可以等于或大于约99重量%(例如,约99重量%-约100.0重量%)的量存在于所述铌前体成分中。在所述铌前体成分中由式(1)表示的铌化合物的纯度可通过质子核磁共振(1H-NMR)或者通过与质谱仪联用(联合)的气相或液相色谱法测量。
可存在于所述铌前体成分中的杂质的实例可包括碳二亚胺,烷基胺,二烷基胺,烷基亚胺,环戊二烯,二环戊二烯,四氢呋喃,醚,戊烷,环己烷,庚烷,苯,甲苯,氯化金属化合物,甲脒基锂、甲脒基钠、或甲脒基钾,脒基锂、脒基钠或脒基钾,胍基锂、胍基钠或胍基钾,环戊二烯基锂、环戊二烯基钠或环戊二烯基钾等。杂质可以等于或小于约5重量%(例如,约0.0重量%-约5.0重量%)的量存在,基于所述铌前体成分的总量。在一些实施方式中,杂质可以等于或小于约2重量%(例如,约0.0重量%-约2.0重量%)的量存在,基于所述铌前体成分的总量。在一些其它实施方式中,杂质可以等于或小于约1重量%(例如,约0.0重量%-约1.0重量%)的量存在,基于所述铌前体成分的总量。
可通过例如重结晶、通过升华、通过蒸馏或通过使气体或液体经过合适的吸附剂例如4A分子筛,纯化所述铌前体成分。所述铌前体成分可含有0十亿分率(按重量计)(ppbw)-约1ppmw、例如约0ppbw-约500ppbw的金属或准金属杂质。所述金属或准金属杂质可为铝(Al)、砷(As)、钡(Ba)、铍(Be)、铋(Bi)、镉(Cd)、钙(Ca)、铬(Cr)、钴(Co)、铜(Cu)、镓(Ga)、锗(Ge)、铪(Hf)、锆(Zr)、铟(In)、铁(Fe)、铅(Pb)、锂(Li)、镁(Mg)、锰(Mn)、钨(W)、镍(Ni)、钾(K)、钠(Na)、锶(Sr)、钍(Th)、锡(Sn)、钛(Ti)、铀(U)、锌(Zn)等。
所述铌前体成分可用作用于在基底上形成含铌的膜的铌源气体。在一些实施方式中,所述铌前体成分可仅包括由式(1)表示的铌化合物。在一些其它实施方式中,所述铌前体成分可包括由式(1)表示的铌化合物和杂质,所述杂质包括有机化合物、金属或其组合。
根据示例实施方式,形成薄膜的方法可包括通过如下在基底上形成含铌的膜:在顺序地或同时地将铌前体成分和反应物供应至所述基底上的同时进行气相沉积工艺,所述铌前体成分包括由式(1)表示的铌化合物。例如,所述铌化合物可为Nb(MeCp)2(NiPr Me-amd)、Nb(EtCp)2(NiPr Me-amd)或Nb(iPrCp)2(NiPr Me-amd),且所述反应物可为NH3。在一些实施方式中,所述铌化合物在室温下可为液体。所述形成含铌的膜可在约100℃-约600℃的温度下和在约1Pa-约105Pa的压力下进行。
使用铌前体成分形成薄膜的方法可用于制造半导体、光伏、LCD-TFT或平面型器件。构成所述铌前体成分的铌化合物可使用本领域中已知的各种沉积方法获得,且可有效地用于形成铌氮化物薄膜。
适合于使用包括铌化合物的铌前体成分形成薄膜的气相沉积方法的实例可包括化学气相沉积(CVD)方法和原子层沉积(ALD)方法。CVD方法的实例可包括热CVD、等离子体增强CVD(PECVD)、脉冲CVD(PCVD)、低压CVD(LPCVD)、亚大气压(低于大气压的)CVD(SACVD)或大气压CVD(APCVD)、热丝CVD(HWCVD,也称作cat-CVD,其中热丝充当用于沉积工艺的能量源)、原子团引入(radical incorporated)CVD、及其组合。ALD方法的实例可包括热ALD、等离子体增强ALD(PEALD)、空间ALD、热丝ALD(HWALD)、原子团引入ALD、及其组合。也可使用超临界流体沉积。在以上阐述的沉积方法的各种实例之中,可使用ALD、PEALD、空间ALD等以提供良好的阶梯覆盖并且控制膜厚度。
根据形成薄膜的方法,为了通过CVD方法形成含铌的膜,可将包括由式(1)表示的铌化合物的铌前体成分和反应物同时供应至基底上。在一些实施方式中,当将所述铌前体成分和所述反应物同时供应至所述基底上时,所述铌前体成分和所述反应物的至少一种可为等离子体处理的。
根据形成薄膜的方法,为了通过ALD方法形成含铌的膜,所述形成含铌的膜可包括:蒸发包括由式(1)表示的铌化合物的铌前体成分,通过将蒸发的铌前体成分供应至基底上而在所述基底上形成Nb源吸附层,并且将反应物供应至所述Nb源吸附层上。在一些实施方式中,在将蒸发的铌前体成分供应至所述基底上之前,所述形成含铌的膜可进一步包括等离子处理蒸发的铌前体成分。在一些其它实施方式中,在将反应物供应至所述Nb源吸附层上之前,所述形成含铌的膜可进一步包括等离子处理所述反应物。
在根据形成薄膜的方法形成含铌的膜中,用作铌前体的铌化合物可作为纯的铌化合物或作为与适合的溶剂的混合的铌化合物供应。所述溶剂可包括乙苯、二甲苯、均三甲苯、萘烷、癸烷、十二烷等。所述铌化合物可以多种浓度存在于所述溶剂中。
可通过使用加热的容器、气体管线、液体质量流量控制器(LMFC)、蒸发器等,将包括纯的或混合的铌化合物的铌前体成分以蒸汽的形式引入反应器中。为了使铌前体成分成为蒸汽的形式,可通过加热、通过鼓泡、或通过使用蒸发器,将包括纯的或混合的铌化合物的铌前体成分蒸发。
可将包括纯的或混合的铌化合物的铌前体成分以液态供应至蒸发设备。在一些实施方式中,可将铌前体成分在引入至反应器中之前蒸发。在一些实施方式中,可通过如下将包括纯的或混合的铌化合物的铌前体成分蒸发:将运载气体通入包含所述成分的容器中、或通过将运载气体鼓泡进入所述成分中。所述运载气体可包括Ar、He、N2、其混合物等。可存在于包括纯的或混合的铌化合物的铌前体成分中的溶解的氧可通过使用所述运载气体鼓泡而除去。由此,在除去溶解的氧之后,可将所述运载气体和所述铌前体成分作为蒸汽引入反应器中。
可将包含铌前体成分的容器加热至容许铌前体成分以液态存在并且具有足够的蒸汽压的温度。例如,所述容器可保持在约0℃-约150℃的温度。可控制所述容器的温度以控制蒸发的铌前体的量。例如,反应器可包括平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片反应器、或提供用于化合物的反应和薄膜的形成的适合条件的沉积系统。这些反应器或沉积系统可用于ALD或CVD沉积工艺。
所述反应器可在沉积工艺期间同时处理一个或多个基底。所述基底可为用于制造半导体、光伏、平面型或LCD-TFT器件的任何适合基底。例如,所述基底可包括硅、二氧化硅、玻璃或GaAs晶片。所述晶片可包括一个或多个在形成含铌的膜例如铌氮化物薄膜之前的在先制造过程中沉积的不同材料的层。例如,所述晶片可包括(结晶的、非晶的、多孔的等)硅层、氧化硅层、氮化硅层、氧氮化硅层、碳掺杂的氧化硅(SiCOH)层、或其组合。所述晶片可包括金属或金属氮化物层(例如铜、钨、氮化钛等)或贵金属层(例如铂、钯、铑或金)。所述晶片可包括锰、氧化锰等。另外,所述晶片可包括聚合物层如聚(3,4-亚乙基二氧噻吩):聚(磺苯乙烯)[PEDOT:PSS]等。以上作为实例阐述的层在晶片上可为平面的或图案化的。
在根据一些实施方式的形成薄膜的方法中,铌氮化物薄膜可直接沉积在晶片上,或者可直接沉积在晶片的上侧上的层之中的一个层或多个层上。如本文中使用的,术语“膜”或“层”指的是具有厚度并且放置或沉积在某表面上的材料,且所述表面可为特定图案如沟槽、线等的上侧。在整个说明书和权利要求中,晶片和形成于其上的层被称作基底。例如,第一铌氮化物膜可沉积在Si层上。在随后的过程中,氧化锆层可沉积在第一铌氮化物膜上,且第二铌氮化物膜可沉积在氧化锆层上,由此形成用于DRAM电容器的NbN/ZrO2/NbN堆叠结构体。
反应器内的温度和压力可保持在适合于气相沉积的条件下。即,在将蒸发的铌前体注入腔室中之后,腔室内的条件为容许铌前体的至少一部分沉积在基底上以形成铌氮化物膜的条件。反应器内的压力可保持在约1Pa-约105Pa、例如约25Pa-约103Pa,如适合用于沉积参数的。反应器内的温度可保持在约100℃-约500℃、例如约150℃-约400℃。在本文中,表述“前体的至少一部分沉积”可用于说明前体的一些或全部与基底反应或吸附在基底上。
通过控制腔室中的加热设备如阶段加热器(逐步加热器,stage heater)、灯等的温度,或者通过控制反应器壁的温度,可控制反应器的温度。可将反应器壁加热至适合于沉积期望品质的膜的温度,所述期望品质的膜具有期望的物理状态和组成。反应器壁可加热至其的温度可范围为例如约100℃-约500℃。当使用等离子体沉积工艺时,沉积温度可选自约150℃-约400℃的范围。
在形成薄膜的方法中,可将反应物与铌前体成分一起引入反应器中。所述反应物可包括N2、NH3、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、苯肼、吡唑啉、其自由基(原子团)、及其混合物。
所述反应物可用等离子体处理以分解成自由基形式。当用等离子体处理所述反应物时,N2可用作用于氮化的气体。例如,可用范围约50W-约500W、例如约100W-约400W的功率产生等离子体。等离子体可产生或存在于反应器本身内。等离子体可通过腔室之外的等离子体产生系统形成。
在形成薄膜的方法中,为了形成经等离子体处理的反应物作为与铌前体成分一起引入反应器中的反应物,可采用其中在反应腔室内产生等离子体的直接等离子体方式。可将反应物在其等离子体处理之前注入反应腔室中,或者可将反应物与其等离子体处理同时地注入。原位等离子体可为例如在喷淋头和基底保持器之间产生的13.65MHz RF感应耦合等离子体。基底或喷淋头可为以取决于是否发生正离子效应的功率供应的电极。在原位等离子体产生器中采用的功率可范围为约30W-约1000W。在一些实施方式中,采用的功率可范围为约30W-约600W。在一些其它实施方式中,采用的功率可范围为约100W-约500W。
在一些实施方式中,经等离子体处理的反应物可形成于反应腔室之外。为此,可以例如约1kW-约10kW或约2.5kW-约7.5kW的功率产生远程等离子体。
取决于意图形成于基底上的膜的期望的性质,可将另外的前体注入反应器中。所述另外的前体可用于对铌氮化物膜提供另外的元素。所述另外的元素可包括镧系元素(镱、铒、镝、钆、镨、铈、镧、钇)、锆、锗、硅、镁、钛、锰、钌、铋、铅、铝、或其混合物。当使用所述另外的前体时,沉积在基底上的膜可包含铌金属以及所述另外的元素。
在形成薄膜的方法中,可将用于形成铌氮化物膜的铌前体成分和反应物同时地(化学气相沉积)、顺序地(原子层沉积)或以通过其组合获得的其它方式引入反应器中。在一些实施方式中,形成薄膜的方法可包括在注入铌前体成分和注入反应物之间用惰性气体吹扫(净化)反应器。在一些其它实施方式中,将所述反应物和所述铌前体成分一起注入反应器中、并且在反应器中混合。在另外的实施方式中,可连续地注入所述反应物,并且可以脉冲的方式注入所述铌前体成分(脉冲化学气相沉积)。
在一些实施方式中,可将蒸发的铌前体成分和反应物顺序地或同时地以脉冲的方式注入反应器中(例如,脉冲CVD)。铌前体成分的各脉冲可持续约0.01秒-约10秒、例如约0.3秒-约3秒、或约0.5秒-约2秒的时间。在一些其它实施方式中,可将反应物以脉冲的方式引入反应器中。在这些实施方式中,各脉冲可持续约0.01秒-约10秒、例如约0.3秒-约3秒、或约0.5秒-约2秒的时间。在一些另外的实施方式中,可在确保(固定)多个晶片旋转的衬托器(基座)的条件下将铌前体成分和反应物同时从喷淋头喷射(空间ALD)。
在形成薄膜的方法中,沉积时间可随具体的工艺参数而变化。沉积时间可持续如形成具有所需性质的膜所需要的一样长。在形成薄膜的方法中,所形成的薄膜可具有从几埃
Figure BDA0001148579140000181
至几百微米(μm)变化的厚度,取决于具体的沉积工艺。沉积工艺可进行如获得期望的膜所需要的一样多的次数。
在根据一些实施方式的形成薄膜的方法中,CVD工艺可如下进行。首先,可将用于形成铌氮化物膜的铌前体成分和反应物同时注入反应器中。所述铌前体成分与所述反应物反应,由此获得铌氮化物膜。当将等离子体用于CVD工艺中时,CVD工艺可为PECVD工艺。所述反应物可在引入腔室中之前或之后用等离子体处理。
在根据一些实施方式的形成薄膜的方法中,第一ALD工艺可如下进行。首先,可将铌前体成分注入反应器中并且化学吸附至基底上(第一过程)。可通过吹扫或抽吸反应器而将过量的铌前体成分从反应器除去。可将反应物(例如,NH3)注入反应器中并且与化学吸附至基底上的铌前体成分以自限制的方式反应(第二过程)。可通过吹扫或抽吸反应器而将过量的反应物从反应器除去。如果意图形成的膜为铌氮化物膜,可重复包括如上所阐述的第一和第二过程的两阶段过程,直至获得具有期望的或需要的厚度的膜。
如果所形成的膜将包含铌和第二元素,则在两阶段过程之后,可将包括第二元素的另外的前体注入反应器中(第三过程)。可基于沉积的铌氮化物膜的性质选择所述另外的前体。在注入反应器中之后,所述另外的前体可接触基底。可通过吹扫或抽吸反应器而将过量的另外的前体从反应器除去。接着,可将反应物再次注入反应器中以与所述另外的前体反应(第四过程)。可通过吹扫或抽吸反应器而将过量的反应物从反应器除去。当沉积具有期望的厚度的膜时,可完成所述过程。对于较厚的膜,可重复包括如上所阐述的第一至第四过程的四阶段过程。通过改变用于形成铌氮化物膜的铌前体成分、另外的前体和反应物的供应,可沉积具有期望的组成和厚度的膜。
在上述ALD工艺中,如果用等离子体处理反应物,则ALD工艺可为PEALD工艺。所述反应物可在注入腔室中之前或之后用等离子体处理。
在根据一些其它实施方式的形成薄膜的方法中,第二ALD工艺可如下进行。首先,根据示例实施方式的铌前体成分之一例如双(乙基环戊二烯基)二异丙基脒基铌(Nb(EtCp)2(NiPr Me-amd))可以气相引入反应器中,且可接触Si基底。接着,可通过吹扫或抽吸反应器而将过量的所述成分从反应器除去。接着,反应物(例如,NH3)可注入反应器中,并且以自限制方式与存在于基底的表面上的铌前体成分反应,由此形成铌氮化物膜。可通过吹扫或抽吸反应器而将过量的反应物(例如,NH3气体)从反应器除去。可重复以上阐述的两阶段过程,直至获得具有期望的厚度例如
Figure BDA0001148579140000191
的厚度的第一铌氮化物膜(第一NbN膜)。
接着,可在第一NbN膜上形成ZrO2膜。这里,ZrCp(NMe2)3可用作Zr前体。
接着,可通过使用Nb(EtCp)2(NiPr Me-amd)和NH3重复以上阐述的第二ALD过程,在ZrO2膜上形成第二NbN膜。结果,获得的NbN/ZrO2/NbN堆叠结构体可用于DRAM电容器。
当获得具有期望的厚度的含铌的膜(例如,NbN膜)时,可使含铌的膜经受另外的后处理,例如高温热退火、炉内退火、高温快速热退火、UV或电子束固化、和/或等离子体气体暴露。例如,为了后处理NbN膜,可将NbN膜在约200℃-约1,000℃的温度下暴露于惰性气氛、含N的气氛或其组合约0.1秒-约7,200秒的时间。在一些实施方式中,可在约400℃的温度下在惰性气氛或含N的气氛下将NbN膜后处理约3,600秒。
通过根据实施方式的形成薄膜的方法形成的含铌的膜可几乎不含或包含相对低量的杂质,因此具有改善的密度,由此提供改善漏泄电流的效果。
在一些实施方式中,可在其中进行沉积工艺的相同的反应腔室中进行含铌的膜的退火。
在一些其它实施方式中,在进行沉积工艺之后,将基底从反应腔室取出,并且可在单独的装置中经受退火/快速退火过程。例如,进行高温热退火作为NbN膜的后处理过程,由此减少NbN膜的碳和氮污染。这可对改善NbN膜的电阻率作贡献。
在通过退火对NbN膜后处理之后,NbN膜可在室温下具有约50μΩ·cm-约1,000μΩ·cm的体电阻率。室温可范围为约20℃-约28℃,取决于季节。体电阻率也称为体积电阻率。可在室温下对具有约50nm的厚度的NbN膜测量NbN膜的体电阻率。
提供以下实施例和对比例以突出一种或多种实施方式的特性,但是将理解,实施例和对比例不被解释为限制实施方式的范围,对比例也不被解释为在实施方式的范围之外。进一步地,将理解,实施方式不限于实施例和对比例中描述的具体细节。
实施例1-双环戊二烯基二异丙基乙脒基铌(NbCp2(NiPr Me-amd))的合成
将甲基锂(MeLi,10mL,16mmol)缓慢地逐滴添加至其中二异丙基碳二亚胺(2.0g,16mmol)溶解于冷却至-78℃的约20mL四氢呋喃(THF)中的溶液。将各组分在室温下搅拌3小时,随后将混合物添加至其中Nb(Cp)2(Cl)2(2.32g,7.9mmol)溶解于-78℃的约20mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色的固体物质。将获得的物质通过在20mTorr下在190℃升华而纯化,由此获得1.08g(37%)纯的黑色固体物质。
图1是实施例1中获得的物质的1H-NMR谱。
1H-NMR(δ,ppm,C6D6):4.90(s,8H),2.97(m,2H),1.17(s,3H),0.75(d,12H)。
使实施例1中获得的固体物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析(TGA)。结果,固体物质的剩余质量为1.7%(在闭杯的情况下为15%)。这些结果示于图2中。图2的TGA图显示物质的随着升高的温度的重量损失的百分数。
实施例2-双环戊二烯基二异丙基戊脒基铌(NbCp2(NiPr nBu-amd))的合成
将丁基锂(nBuLi,250mL,0.4mol)缓慢地逐滴添加至其中二异丙基碳二亚胺(50.5g,0.4mol)溶解于-78℃的约200mL THF中的溶液。将各组分在室温下搅拌12小时,随后将混合物添加至其中Nb(Cp)2(Cl)2(58.8g,0.2mol)溶解于-78℃的约200mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色的固体物质。将获得的物质通过在10mTorr下在150℃升华而纯化,由此获得37.85g(46%)纯的黑色固体物质。
图3是实施例2中获得的物质的1H-NMR谱。1H-NMR(δ,ppm,C6D6):4.92(s,8H),3.16(m,2H),1.73(m,2H),1.13(m,4H),0.81(d,12H),0.78(t,3H)。
使实施例2中获得的固体物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析(TGA)。结果,固体物质的剩余质量为1.7%(在闭杯的情况下为22%)。这些结果示于图4中。图4的TGA图显示物质的随着升高的温度的重量损失的百分数。
实施例3-NbN薄膜(1)的形成
使用实施例2中合成的NbCp2(NiPr nBu-amd)形成NbN薄膜。为此,使用包含在加热至155℃的容器中的NbCp2(NiPr nBu-amd)前体评价NbCp2(NiPr nBu-amd)前体本身的热解特性,并且使用对应于共反应物的氨和所述前体评价ALD沉积特性。
通过在没有共反应物的情况下使NbCp2(NiPr nBu-amd)的蒸汽在硅晶片上流动给定的时间,在固定在0.5托的反应器压力的条件下进行热解测试。
图5显示在300℃-450℃的温度下在没有共反应物的情况下使用NbCp2(NiPr nBu-amd)沉积的薄膜的沉积速率。从图5可见,由于直至约400℃薄膜的厚度也没有增加,故而薄膜被认为直至约400℃也未沉积,并且NbCp2(NiPr nBu-amd)的热稳定性直至约400℃也是优异的。在约400℃或更高的温度下薄膜的厚度增加,并且理解该原因是少量的物质由于前体的自身热分解的发生而沉积在硅晶片上。
使用固定在约2托的反应器和使用氨作为共反应物根据典型的ALD条件进行薄膜沉积过程。证实在350℃下在纯的硅晶片上具有完全的表面饱和的ALD行为。
图6是描绘如下的图:当使用NbCp2(NiPr nBu-amd)前体根据实施例3形成NbN薄膜时,在350℃下根据NbCp2(NiPr nBu-amd)前体的供应时间的NbN薄膜的生长速率。从图6可见,随着前体的注入时间增加,NbN薄膜的沉积速率稳定地恒定在约
Figure BDA0001148579140000211
/循环。
图7是描绘如下的图:当使用NbCp2(NiPr nBu-amd)前体根据实施例3形成NbN薄膜时,根据腔室温度的NbN薄膜的生长速率。对于图7的评价,从300℃-450℃测量NbN薄膜的生长速率。从图7可见,在300℃-400℃,NbN薄膜的生长速率范围为
Figure BDA0001148579140000221
/循环-
Figure BDA0001148579140000222
/循环。
图8和9是分别显示根据实施例3在350℃和375℃下沉积的薄膜的X射线光电子能谱法(XPS)分析的结果的图。
实施例4-双甲基环戊二烯基二异丙基乙脒基铌(Nb(MeCp)2(NiPr Me-amd))的合成
将甲基锂(7.4mL,11.9mmol)缓慢地逐滴添加至其中二异丙基碳二亚胺(1.5g,11.9mmol)溶解于-78℃的约20mL THF中的溶液。将各组分在室温下搅拌3小时,随后将混合物添加至其中Nb(MeCp)2(Cl)2(2.32g,5.9mmol)溶解于-78℃的约20mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色液体。将获得的液体通过在20mTorr下在220℃蒸馏(蒸馏装置温度:84℃)而纯化,由此获得0.80g(34%)纯的黑色蜡状固体物质。
图10是实施例4中获得的物质的1H-NMR谱。1H-NMR(δ,ppm,C6D6):4.73-4.78(m,8H),3.06(m,2H),1.66(s,6H),1.30(s,3H),0.79(d,12H)。
使实施例4中获得的固体物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析。结果,固体物质的剩余质量为1.7%(在闭杯的情况下为17%)。这些结果示于图11中。图11的TGA图显示物质的随着升高的温度的重量损失的百分数。
实施例5-双甲基环戊二烯基二异丙基戊脒基铌(Nb(MeCp)2(NiPr nBu-amd))的合成
将丁基锂(7.4mL,11.9mmol)缓慢地逐滴添加至其中二异丙基碳二亚胺(1.5g,11.9mmol)溶解于-78℃的约20mL THF中的溶液。将各组分在室温下搅拌3小时,随后将混合物添加至其中Nb(MeCp)2(Cl)2(2.32g,5.9mmol)溶解于-78℃的约20mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色液体。将获得的物质通过在30mTorr下在210℃真空蒸馏(蒸馏装置温度:60℃)而纯化,由此获得黑色液体。
图12是实施例5中获得的物质的1H-NMR谱。1H-NMR(δ,ppm,C6D6):4.69-4.89(m,8H),3.24(m,2H),1.81(m,2H),1.67(s,6H),1.17(m,4H),0.83(d,12H),0.80(t,3H)。
使实施例5中获得的物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析。结果,物质的剩余质量为2.7%(在闭杯的情况下为24%)。这些结果示于图13中。图13的TGA图显示物质的随着升高的温度的重量损失的百分数。
实施例6-双甲基环戊二烯基叔丁基乙基乙脒基铌(Nb(MeCp)2(NtBu,Et Me-amd))的合成
将甲基锂(7.4mL,11.9mmol)缓慢地逐滴添加至其中正丁基乙基碳二亚胺(1.5g,11.9mmol)溶解于-78℃的约20mL THF中的溶液。将各组分在室温下搅拌3小时,随后将混合物添加至其中Nb(MeCp)2(Cl)2(2.32g,5.9mmol)溶解于-78℃的约20mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色蜡状固体物质。将获得的物质通过在20mTorr下在200℃真空蒸馏(蒸馏装置温度:106℃)而纯化,由此获得黑色蜡状固体物质。
图14是实施例6中获得的物质的1H-NMR谱。1H-NMR(δ,ppm,C6D6):4.18-5.03(m,8H),2.61(q,2H),1.70(s,6H),1.32(s,3H),0.94(s,9H),0.82(t,3H)。
使实施例6中获得的固体物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析。结果,物质的剩余质量为2.2%(在闭杯的情况下为26%)。这些结果示于图15中。图15的TGA图显示物质的随着升高的温度的重量损失的百分数。
实施例7-双乙基环戊二烯基二异丙基乙脒基铌(Nb(EtCp)2(NiPr Me-amd))的合成
将甲基锂(178mL,0.28mol)缓慢地逐滴添加至其中二异丙基碳二亚胺(36.0g,0.28mol)溶解于-78℃的约200mL THF中的溶液。将各组分在室温下搅拌5小时,随后将混合物添加至其中Nb(EtCp)2(Cl)2(50g,0.14mol)溶解于-78℃的约20mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色液体。将获得的物质通过在20mTorr下在220℃蒸馏(蒸馏装置温度:150℃)而纯化,由此获得21.35g(36%)纯的黑色液体。
图16是实施例7中获得的物质的1H-NMR谱。1H-NMR(δ,ppm,C6D6):4.75-4.81(m,8H),3.07(m,2H),1.86(q,4H),1.30(s,3H),1.16(t,6H),0.80(d,12H)。
使实施例7中获得的物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析。结果,物质的剩余质量为2.4%(在闭杯的情况下为26%)。这些结果示于图17中。图17的TGA图显示物质的随着升高的温度的重量损失的百分数。
实施例8-NbN薄膜(2)的形成
使用实施例7中合成的Nb(EtCp)2(NiPr Me-amd)形成NbN薄膜。为此,使用包含在加热至150℃的容器中的Nb(EtCp)2(NiPr Me-amd)前体和使用对应于共反应物的氨评价ALD沉积特性。使用氨作为共反应物在固定在约2托的反应器压力的条件下进行典型的ALD工艺。
图18是描绘在300℃-450℃的温度下使用Nb(EtCp)2(NiPr Me-amd)的NbN薄膜的沉积速率的图。图19和20是分别显示根据实施例8在350℃和400℃下沉积的薄膜的XPS分析的结果的图。在350℃下沉积的NbN薄膜的电阻率测量为约700μΩ·cm。
实施例9-双异丙基环戊二烯基二异丙基乙脒基铌(Nb(iPrCp)2(NiPr Me-amd))的合成
将甲基锂(7.4mL,11.9mmol)缓慢地逐滴添加至其中二异丙基碳二亚胺(1.5g,11.9mmol)溶解于-78℃的约20mL THF中的溶液。将各组分在室温下搅拌3小时,随后将混合物添加至其中Nb(iPrCp)2(Cl)2(2.64g,5.9mmol)溶解于-78℃的约20mL THF中的溶液。将混合物在室温下搅拌过夜。接着,在真空中除去溶剂,并且用甲苯萃取产物,由此获得黑色液体。将获得的物质通过在75mTorr下在190℃真空蒸馏(蒸馏装置温度:86℃)而纯化,由此获得0.85g(32%)纯的黑色液体。
图21是实施例9中获得的物质的1H-NMR谱。1H-NMR(δ,ppm,C6D6):4.79-4.92(m,8H),3.08(m,2H),2.12(m,2H),1.29(s,3H),1.11(d,12H),0.83(d,12H)。
使实施例9中获得的物质经受在200mL/分钟的氮气流的气氛中以10℃/分钟的加热速率的开杯热重分析。结果,物质的剩余质量为2.2%(在闭杯的情况下为27%)。这些结果示于图22中。图22的TGA图显示物质的随着升高的温度的重量损失的百分数。
图23A-23J是根据过程顺序显示的集成电路器件300(参见图23J)的截面图,以阐明根据实施方式的制造集成电路器件300的方法。参照图23A,层间电介质320形成于包括多个活性区域(有源区域)AC的基底310上,随后形成多个导电区域324,导电区域324穿透层间电介质320并且分别连接至多个活性区域AC。
基底310可包括半导体如Si或Ge,或者化合物半导体如SiGe、SiC、GaAs、InAs或InP。在一些实施方式中,基底310可包括第III-V族材料和第IV族材料的至少一种。第III-V族材料可为包括至少一种第III族原子和至少一种第V族原子的二元、三元或四元化合物。第III-V族材料可为包括In、Ga和Al的至少一种原子作为第III族原子和As、P和Sb的至少一种原子作为第V族原子的化合物。例如,第III-V族材料可选自InP、InzGa1-zAs(0≤z≤1)和AlzGa1-zAs(0≤z≤1)。所述二元化合物可为例如InP、GaAs、InAs、InSb和GaSb之一。所述三元化合物可为例如InGaP、InGaAs、AlInAs、InGaSb、GaAsSb和GaAsP之一。第IV族材料可为Si或Ge。然而,可用于根据示例实施方式的集成电路器件的第III-V族材料和第IV族材料不限于以上阐述的实例。在另一实施方式中,基底310可具有绝缘体上硅(SOI)结构。基底310可包括导电区域,例如,杂质掺杂的肼或杂质掺杂的结构体。
多个活性区域AC可由形成于基底310上的多个器件隔离区域312限定。器件隔离区域312可包括氧化硅膜、氮化硅膜、氧氮化硅膜、或其组合。
层间电介质320可包括氧化硅膜。
多个导电区域324可连接至形成于基底310上的开关器件(未示出)如场效应晶体管的一个端子。多个导电区域324可包括多晶硅、金属、导电金属氮化物、金属硅化物、其组合等。
参照图23B,形成覆盖层间电介质320和多个导电区域324的绝缘层328。绝缘层328可用作蚀刻停止层。绝缘层328可包括对于层间电介质320和在随后的过程中形成的模型膜(mold film)330(参见图23C)具有蚀刻选择性的绝缘材料。在一些实施方式中,绝缘层328可包括氮化硅、氧氮化硅、或其组合。在一些实施方式中,绝缘层328可具有例如约
Figure BDA0001148579140000251
-约
Figure BDA0001148579140000252
的厚度。
参照图23C,模型膜330形成于绝缘层328上。在一些实施方式中,模型膜330可包括氧化物膜。例如,模型膜330可包括氧化物膜如硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)、未掺杂的硅酸盐玻璃(USG)、旋转电介质(spin on dielectric)(SOD)、通过高密度等离子体化学气相沉积(HDP CVD)工艺形成的氧化物膜等。为了形成模型膜330,可使用热CVD工艺或等离子体CVD工艺。在一些实施方式中,模型膜330可具有例如约
Figure BDA0001148579140000261
-约
Figure BDA0001148579140000262
的厚度。
在一些实施方式中,模型膜330可包括支持膜(未示出)。支持膜可由对于模型膜330具有蚀刻选择性的材料形成,并且可具有约
Figure BDA0001148579140000263
-约
Figure BDA0001148579140000264
的厚度。支持膜可包括对于蚀刻气氛、例如对于当在随后的过程中通过LAL剥离工艺除去模型膜330时的LAL(LAL包括氟化铵(NH4F)、氢氟酸(HF)和水)具有相对低的蚀刻速率的材料。在一些实施方式中,支持膜可包括例如氮化硅、碳氮化硅、氧化钽、氧化钛、或其组合。
参照图23D,牺牲膜342和掩模图案344顺序地形成于模型膜330上。牺牲膜342可包括氧化物膜如BPSG、PSG、USG、SOD、通过HDP CVD工艺形成的氧化物膜等。牺牲膜342可具有约
Figure BDA0001148579140000265
-约
Figure BDA0001148579140000266
的厚度。牺牲膜342可用于保护包括在模型膜330中的支持膜。
掩模图案344可包括氧化物膜、氮化物膜、多晶硅膜、光刻胶膜、或其组合。其中形成电容器的下部电极的区域可由掩模图案344限定。
参照图23E,使用掩模图案344作为蚀刻掩模和使用绝缘层328作为蚀刻停止层干法蚀刻牺牲膜342和模型膜330,由此形成限定多个孔H1的牺牲图案342P和模型图案330P。这里,绝缘层328也可由于过蚀刻而被蚀刻,由此可形成暴露多个导电区域324的绝缘图案328P。
参照图23F,从图23E的所得物除去掩模图案344,随后形成用于形成下部电极的导电膜350,导电膜350覆盖多个孔H1各自的内侧壁、绝缘图案328P的暴露的表面、多个孔H1内的多个导电区域324各自的暴露的表面、和牺牲图案342P的暴露的表面。
用于形成下部电极的导电膜350可共形地形成于多个孔H1的侧壁上,使得多个孔H1各自的内部空间部分保留。
在一些实施方式中,用于形成下部电极的导电膜350可包括NbN膜。在一些其它实施方式中,用于形成下部电极的导电膜350可包括NbN膜和另外的导电膜的组合。所述另外的导电膜可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物、或其组合。例如,用于形成下部电极的导电膜350可仅包括NbN膜,或可包括NbN膜与导电膜的组合,所述导电膜包括TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、SRO(SrRuO3)、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCO((La,Sr)CoO3)、或其组合。
为了形成NbN膜以形成用于形成下部电极的导电膜350,根据依照上述实施方式形成薄膜的方法,可使用包括由式(1)表示的铌化合物的铌前体成分和使用含有N原子的反应物进行CVD或ALD工艺。
所述铌化合物可为具有由化学式1、2或3表示的结构的铌化合物。例如,所述铌化合物可为Nb(MeCp)2(NiPr Me-amd)、Nb(EtCp)2(NiPr Me-amd)或Nb(iPrCp)2(NiPr Me-amd),且所述反应物可为NH3
在一些实施方式中,用于形成下部电极的导电膜350可不包括NbN膜。在这些实施方式中,用于形成下部电极的导电膜350可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物、或其组合。
参照图23G,部分除去用于形成下部电极的导电膜350的上侧,由此将用于形成下部电极的导电膜350分成多个下部电极LE。为了形成多个下部电极LE,可通过使用回蚀(etchback)或化学机械抛光(CMP)工艺除去用于形成下部电极的导电膜350的上侧的部分和牺牲图案342P(参见图23F),直至模型图案330P的上表面暴露。多个下部电极LE可穿过绝缘图案328P连接至导电区域324。
参照图23H,除去模型图案330P,由此暴露具有圆筒形形状的多个下部电极LE的外侧壁。模型图案330P可通过使用LAL或氢氟酸的剥离工艺除去。
参照图23I,介电膜360形成于多个下部电极LE上。介电膜360可共形地覆盖多个下部电极LE的暴露的表面。介电膜360可通过ALD工艺形成。
介电膜360可包括氧化物、金属氧化物、氮化物或其组合。在一些实施方式中,介电膜360可包括具有比氧化硅高的介电常数的高-K介电膜。例如,介电膜360可包括ZrO2膜。例如,介电膜360可包括ZrO2膜的单层,或者可包括包含至少一个ZrO2膜和至少一个Al2O3膜的组合的多层。
在一些实施方式中,介电膜360可具有约
Figure BDA0001148579140000271
-约
Figure BDA0001148579140000272
的厚度,而不限于此。
参照图23J,上部电极UE形成于介电膜360上。下部电极LE、介电膜360、和上部电极UE可构成电容器370。上部电极UE可包括NbN膜。
为了形成用于形成上部电极UE所需的NbN膜,根据依照上述实施方式形成薄膜的方法,可使用包括由式(1)表示的铌化合物的铌前体成分和使用含有N原子的反应物进行CVD或ALD工艺。
所述铌化合物可为具有由化学式1、2或3表示的结构的铌化合物。例如,所述铌化合物可为Nb(MeCp)2(NiPr Me-amd)、Nb(EtCp)2(NiPr Me-amd)或Nb(iPrCp)2(NiPr Me-amd),且所述反应物可为NH3
在一些其它实施方式中,上部电极UE可包括NbN膜和另外的导电膜的组合。所述另外的导电膜可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物、或其组合。例如,上部电极UE可包括TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、SRO(SrRuO3)、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCO((La,Sr)CoO3)、其组合等。
尽管已经参照图23A-23J描述了包括形成圆筒形下部电极LE的过程的制造集成电路器件300的方法,但是实施方式不限于以上阐述的实例。例如,可形成不具有内部空间的柱型下部电极代替圆筒形下部电极LE,并且介电膜360和上部电极UE可形成于柱型下部电极上。
根据依照如参照图23A-23J描述的实施方式制造集成电路器件的方法,在形成构成上部电极UE的NbN膜中,当NbN膜形成于包括高-K介电膜如ZrO2膜的介电膜360上时,使用根据示例实施方式的铌化合物代替含Cl的Nb前体如NbCl5作为Nb前体,由此稳定地形成具有相对高的功函数的NbN膜而不破坏介电膜360。因此,可实现期望的器件,而不在电容器370中出现漏泄电流和劣化器件的电性质。
图24A-24C是用于说明根据其它实施方式的制造集成电路器件的方法的图,图24A是意图形成的集成电路器件400的平面图,图24B是图24A的集成电路器件400的透视图,且图24C分别显示沿着图24A的线X-X'和Y-Y'所取的集成电路器件400的截面图。
参照图24A-24C,集成电路器件400包括从基底402突出的鳍型活性区域FA。基底402的细节大部分与参照图23A对基底310描述的相同。
基底402可包括第III-V族材料或第IV族材料,并且因此可用作容许制造低功率高速晶体管的沟道材料。如果NMOS晶体管形成于基底402上,基底402可包括第III-V族材料之一。例如,基底402可包括GaAs。如果PMOS晶体管形成于基底402上,基底402可包括提供比Si基底高的空穴迁移率的半导体材料例如Ge。
鳍型活性区域FA可沿着一个方向(在图24A和24B中的Y方向)延伸。覆盖鳍型活性区域FA的下侧壁的器件隔离膜410形成于基底402上。鳍型活性区域FA以鳍形从器件隔离膜410向上突出。在一些实施方式中,器件隔离膜410可包括氧化硅膜、氮化硅膜、氧氮化硅膜、其组合等。
在基底402上的鳍型活性区域FA上,栅结构体420可在与鳍型活性区域FA延伸的方向交叉的方向(X方向)上延伸。一对源/漏区域430可在鳍型活性区域FA上在栅结构体420的两侧形成。
一对源/漏区域430可包括在鳍型活性区域FA上外延生长的半导体层。一对源/漏区域430各自可包括包含多个外延生长的SiGe层、外延生长的Si层、或外延生长的SiC层的嵌入式SiGe结构体。在图24B中,尽管根据示例实施方式,一对源/漏区域430显示为具有特定的形状,但是一对源/漏区域430可具有多种截面形状。例如,一对源/漏区域430可具有多种截面形状如圆形、椭圆形、多边形等。
MOS晶体管TR可在其中鳍型活性区域FA与栅结构体420交叉的区域中形成。MOS晶体管TR可包括其中沟道形成于鳍型活性区域FA的上表面和两个侧表面上的三维结构的MOS晶体管。MOS晶体管TR可构成NMOS晶体管或PMOS晶体管。
如图24C中所示,栅结构体420可包括顺序地形成于鳍型活性区域FA的表面上的界面层412、高-K介电膜414、第一含金属层426A、第二含金属层426B、和间隙填充金属层428。栅结构体420的第一含金属层426A、第二含金属层426B、和间隙填充金属层428可构成栅电极420G。
绝缘间隔物442可形成于栅结构体420的两个侧表面上。覆盖绝缘间隔物442的层间电介质444可形成于与栅结构体420的相反侧,其中绝缘间隔物442介于栅结构体420与层间电介质444之间。
界面层412可形成于鳍型活性区域FA的表面上。界面层412可由绝缘材料如氧化物膜、氮化物膜、或氧氮化物膜形成。界面层412可与高-K介电膜414一起构成栅绝缘膜。
高-K介电膜414可包括具有比氧化硅膜大的介电常数的材料。例如,高-K介电膜414可具有约10-约25的介电常数。例如,高-K介电膜414可包括选自氧化锆、锆硅氧化物、氧化铪、氧氮化铪、铪硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物、铌酸铅锌、及其组合的材料。高-K介电膜414可通过ALD工艺形成。
在一些实施方式中,第一含金属层426A可包括Nb氮化物。例如,第一含金属层426A可包括NbN膜。
为了形成构成第一含金属层426A的NbN膜,根据依照以上描述的实施方式形成薄膜的方法,可使用包括由式(1)表示的铌化合物的铌前体成分和使用含有N原子的反应物进行CVD或ALD工艺。
所述铌化合物可为具有由化学式1、2或3表示的结构的铌化合物。例如,所述铌化合物可为Nb(MeCp)2(NiPr Me-amd)、Nb(EtCp)2(NiPr Me-amd)或Nb(iPrCp)2(NiPr Me-amd),且所述反应物可为NH3
在一些其它实施方式中,除NbN膜之外,第一含金属层426A可进一步包括另外的含金属层,所述另外的含金属层包括Ti氮化物、Ta氮化物、Ti氧氮化物或Ta氧氮化物。例如,所述另外的含金属层可包括TiN、TaN、TiAlN、TaAlN、TiSiN、或其组合。所述另外的含金属层可通过多种沉积方法如ALD、CVD、物理气相沉积(PVD)等形成。
在一些实施方式中,第二含金属层426B可包括对于NMOS晶体管所需的N型含金属层,其包括含Ti或Ta的Al化合物。例如,第二含金属层426B可包括TiAlC、TiAlN、TiAlCN、TiAl、TaAlC、TaAlN、TaAlCN、TaAl、或其组合。
在一些其它实施方式中,第二含金属层426B可包括用于PMOS晶体管的P型含金属层。例如,第二含金属层426B可包括Mo、Pd、Ru、Pt、TiN、WN、TaN、Ir、TaC、RuN和MoN的至少一种。
第二含金属层426B可包括单层或多层。第二含金属层426B可与第一含金属层426A一起用于调节栅结构体420的功函数。栅结构体420的阈值电压可通过第一含金属层426A和第二含金属层426B的功函数调节而调节。
当栅结构体通过替代金属栅极(RMG)工艺形成时,间隙填充金属层428可填充第二含金属层426B上的剩余栅空间。如果在形成第二含金属层426B之后不存在第二含金属层426B上的剩余栅空间,则间隙填充金属层428可被省略,而不形成于第二含金属层426B上。
间隙填充金属层428可包括选自如下的材料:W、金属氮化物如TiN和TaN、Al、金属碳化物、金属硅化物、金属铝碳化物、金属铝氮化物、金属硅氮化物等。
根据依照如参照图24A-24C描述的实施方式制造集成电路器件400的方法,使用根据示例实施方式的铌化合物作为铌前体在高-K介电膜414上形成构成第一含金属层426A的NbN膜。因此,与在使用含Cl的Nb前体如NbCl5作为Nb前体的情况下不同,可稳定地形成具有相对高的功函数的NbN膜,而不破坏高-K介电膜414。因此,可实现期望的器件,而不出现晶体管的漏泄电流或劣化晶体管的电性质。
尽管已经参照图24A-24C描述了制造包括具有三维结构的沟道的FinFET的集成电路器件的方法,但是本领域技术人员将理解,具有根据示例实施方式的特征的制造包括平面型MOSFET的集成电路器件的方法可通过多种变化和变型提供。
图25是显示根据实施方式的电子器件1100的主要组件的框图。电子器件1100包括控制器1110、输入/输出器件1120、存储器1130、和界面1140。电子器件1100可为移动系统、或者发射或接收信息的系统。在一些实施方式中,移动系统可包括如下的至少一种:个人数字助手(PDA)、便携式计算机、网络平板电脑(web tablet)、无线电话、移动电话、数字音乐播放器、和存储卡。在一些实施方式中,控制器1110是微处理器、数字信号处理器、或微控制器。
输入/输出器件1120用于电子器件1100的数据输入和输出。电子器件1100可通过使用输入/输出器件1120连接至电子器件1100外部的设备、例如个人计算机或网络,并且与外部设备交换数据。在一些实施方式中,输入/输出器件1120为小键盘、键盘、触摸屏、或显示器。
在一些实施方式中,存储器1130储存用于运行控制器1110的编码和/或数据。在一些其它实施方式中,存储器1130储存通过控制器1110处理的数据。控制器1110和存储器1130的至少一个包括通过根据示例实施方式的形成薄膜的方法形成的含铌的膜、参照图23A-23J描述的集成电路器件300、或参照图24A-24C描述的集成电路器件400。
界面1140充当电子器件1100和电子器件1100外部的其它设备之间的数据传输路径。控制器1110、输入/输出器件1120、存储器1130和界面1140可通过总线1150相互通信。
电子器件1100可包括在移动电话、MP3播放器、导航系统、便携式多媒体播放器(PMP)、固态硬盘(SSD)、或家用电器中。
作为总结和回顾,提供相对高的功函数的金属氮化物膜例如铌氮化物薄膜可用于半导体器件中。在形成NbN薄膜中,期望在工艺稳定性和批量生产性方面是有利的且不破坏下层的铌前体。
如上所述,用于根据示例实施方式的形成薄膜的方法中的铌化合物具有相对低的熔点,可以液态输送,并且呈现与用于形成NbN薄膜的含氮反应物的优异反应性。另外,当铌化合物用于形成NbN薄膜的工艺时,可使铌化合物的输送容易。因此,铌化合物可适合用作在薄膜沉积工艺如原子层沉积(ALD)、化学气相沉积(CVD)等中用于形成含铌的薄膜的铌前体,其中源化合物以蒸发状态供应。通过根据示例实施方式的形成薄膜的方法形成的含铌的膜可限于相对低量的杂质。因此,含铌的膜可具有改善的密度,并因此可提供改善漏泄电流的效果。特别地,根据示例实施方式的铌化合物可不含有可引起对含铌的膜形成于其上的下层(例如高-K介电膜)的破坏的元素例如元素氯(Cl)。因此,在根据示例实施方式使用铌化合物形成薄膜的过程期间,具有相对高的功函数的含Nb的膜可稳定地形成,而不破坏下层。因此,可实现具有期望的性质的集成电路器件而不出现泄露电流或电性质的劣化。
实施方式可提供形成薄膜的方法,其可使用能够提供优异的工艺稳定性和批量生产性而不破坏下层的铌化合物提供期望的电性质。实施方式还可提供使用能够提供优异的工艺稳定性和批量生产性的铌化合物制造具有期望的电性质的集成电路器件的方法。
在本文中已经公开了示例实施方式,并且尽管使用了具体的术语,但是它们仅在通常和描述性的意义上使用和进行解释且不用于限制的目的。在一些情况下,如到本申请提交时为止的本领域普通技术人员将明晰的,关于一个具体实施方式描述的特征、特性和/或要素可单独地或与关于其它实施方式描述的特征、特性和/或要素组合地使用,除非另外明确地说明。因此,本领域技术人员将理解,在不背离如所附权利要求中所阐明的本发明的精神和范围的情况下,可进行形式和细节上的多种变化。

Claims (19)

1.形成薄膜的方法,所述方法包括:
通过使用铌前体成分和反应物在基底上形成含铌的膜,所述铌前体成分包括由式(1)表示的铌化合物:
式(1)
Nb(R5Cp)2(L)
其中,在式(1)中,
R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基,
Cp为环戊二烯基,和
L为脒化物,
其中所述铌化合物具有化学式2:
[化学式2]
Figure FDA0003204489160000011
其中R和R'各自独立地为H、C1-C6烷基或R1 3Si,且R”为C1-C6烷基或R1 3Si,其中R1各自如式(1)中所定义。
2.如权利要求1所述的方法,其中所述铌前体成分包括等于或大于95重量%的所述由式(1)表示的铌化合物。
3.如权利要求1所述的方法,其中所述铌前体成分包括
所述由式(1)表示的铌化合物,和
杂质,所述杂质包括有机化合物、金属或其组合。
4.如权利要求1所述的方法,其中所述反应物选自:N2、NH3、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、苯肼、吡唑啉、其自由基、及其混合物。
5.如权利要求1所述的方法,其中所述形成含铌的膜包括:
蒸发包括所述由式(1)表示的铌化合物的所述铌前体成分;
通过将蒸发的铌前体成分供应至所述基底上而在所述基底上形成Nb源吸附层;和
将所述反应物供应至所述Nb源吸附层上。
6.如权利要求5所述的方法,其中所述形成含铌的膜进一步包括:在将蒸发的铌前体成分供应至所述基底上之前,等离子体处理所述蒸发的铌前体成分。
7.如权利要求5所述的方法,其中所述形成含铌的膜进一步包括:在将所述反应物供应至所述Nb源吸附层上之前,等离子体处理所述反应物。
8.如权利要求1所述的方法,其中所述形成含铌的膜包括同时供应所述铌前体成分和所述反应物,所述铌前体成分包括所述由式(1)表示的铌化合物。
9.如权利要求8所述的方法,其中所述形成含铌的膜进一步包括:在同时供应所述铌前体成分和所述反应物期间,等离子体处理所述铌前体成分和所述反应物的至少一种。
10.如权利要求1所述的方法,其中所述铌化合物在室温下为液体。
11.制造集成电路器件的方法,所述方法包括:
在基底上形成下部结构体;和
通过使用铌前体成分和反应物在所述下部结构体上形成含铌的膜,所述铌前体成分包括由式(1)表示的铌化合物:
式(1)
Nb(R5Cp)2(L)
其中,在式(1)中,
R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基,
Cp为环戊二烯基,和
L为脒化物,
其中所述铌化合物具有化学式2:
[化学式2]
Figure FDA0003204489160000031
其中R和R'各自独立地为H、C1-C6烷基或R1 3Si,且R”为C1-C6烷基或R1 3Si,其中R1各自如式(1)中所定义。
12.如权利要求11所述的方法,其中所述铌化合物、所述铌前体成分所述反应物和所述形成含铌的膜是如权利要求2-10中任一项所定义的。
13.如权利要求11所述的方法,其中所述形成下部结构体包括形成具有比氧化硅高的介电常数的高-K介电膜,和
所述形成含铌的膜包括在所述高-K介电膜上形成NbN膜。
14.如权利要求11所述的方法,其中所述形成下部结构体包括:
形成从所述基底向上突出的鳍型活性区域;
在所述鳍型活性区域的表面上形成界面层;和
在所述界面层上形成高-K介电膜,且
其中所述形成含铌的膜包括在所述高-K介电膜上形成NbN膜。
15.形成半导体器件的方法,所述方法包括:
蒸发由式(1)表示的铌化合物并且将蒸发的铌化合物供应至基底;和
使所述铌化合物与含氮反应物反应以在所述基底上形成导电的铌氮化物层,
Nb(R5Cp)2(L) 式(1)
其中,在式(1)中,
R各自独立地为H、C1-C6烷基或R1 3Si,其中R1各自独立地为H或C1-C6烷基,
Cp为环戊二烯基,和
L为脒化物,
其中所述铌化合物具有化学式2:
[化学式2]
Figure FDA0003204489160000041
其中R和R'各自独立地为H、C1-C6烷基或R1 3Si,且R”为C1-C6烷基或R1 3Si,其中R1各自如式(1)中所定义。
16.如权利要求15所述的方法,其中所述基底具有高-K介电层,且所述铌氮化物层直接形成于所述高-K介电层上。
17.如权利要求16 所述的方法,其中所述高-K介电层包括氧化锆。
18.如权利要求16所述的方法,其中所述半导体器件包括具有第一电极、第二电极以及在第一和第二电极之间的电介质的电容器,所述第一和第二电极的至少一个包括所述铌氮化物层,且所述电介质包括所述高-K介电层。
19.如权利要求16所述的方法,其中所述半导体器件包括具有栅电极的晶体管,所述栅电极包括所述铌氮化物层。
CN201610986776.4A 2015-11-30 2016-11-09 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法 Active CN107026072B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020150169058A KR102442621B1 (ko) 2015-11-30 2015-11-30 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
KR10-2015-0169058 2015-11-30

Publications (2)

Publication Number Publication Date
CN107026072A CN107026072A (zh) 2017-08-08
CN107026072B true CN107026072B (zh) 2021-11-09

Family

ID=58776723

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610986776.4A Active CN107026072B (zh) 2015-11-30 2016-11-09 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法

Country Status (3)

Country Link
US (1) US10259836B2 (zh)
KR (1) KR102442621B1 (zh)
CN (1) CN107026072B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
KR102449895B1 (ko) 2018-05-18 2022-09-30 삼성전자주식회사 반도체 장치와 그 제조 방법
US11524973B2 (en) 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same
KR20200141809A (ko) 2019-06-11 2020-12-21 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR102627457B1 (ko) 2019-08-06 2024-01-19 삼성전자주식회사 나이오븀 화합물과 이를 이용하는 박막 형성 방법
KR20210041843A (ko) 2019-10-08 2021-04-16 에스케이트리켐 주식회사 금속 함유 박막 형성을 위한 신규 전구체 및 이를 이용한 금속 함유 박막 형성 방법 및 상기 금속 함유 박막을 포함하는 반도체 소자.
KR102639052B1 (ko) * 2019-10-14 2024-02-22 삼성전자주식회사 박막 형성 방법
KR102343186B1 (ko) * 2019-11-11 2021-12-24 주식회사 이지티엠 니오븀 질화물 박막의 형성 방법
KR20220158601A (ko) * 2021-05-24 2022-12-01 에스케이트리켐 주식회사 반도체 박막 형성용 금속 전구체 화합물 및 이용하여 제조된 금속 함유 박막
WO2024058624A1 (ko) * 2022-09-16 2024-03-21 에스케이트리켐 주식회사 란탄족 금속 함유 박막 형성용 전구체, 이를 이용한 란탄족 금속 함유 박막 형성 방법 및 상기 란탄족 금속 함유 박막을 포함하는 반도체 소자.

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050117286A (ko) * 2004-06-10 2005-12-14 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
CN101121734A (zh) * 2006-07-31 2008-02-13 罗门哈斯电子材料有限公司 有机金属化合物
CN101348900A (zh) * 2007-06-05 2009-01-21 罗门哈斯电子材料有限公司 有机金属化合物

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
KR102220703B1 (ko) * 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US20040185372A1 (en) * 2003-03-10 2004-09-23 Fuji Photo Film Co., Ltd. Dye-containing curable composition, color filter, and process of preparing color filter
US7572731B2 (en) 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7736697B2 (en) 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US7547796B2 (en) 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
KR100871692B1 (ko) 2006-11-07 2008-12-08 삼성전자주식회사 저온 증착용 금속 전구체, 그를 사용한 금속 박막 형성방법 및 상변화 메모리 소자 제조 방법
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
US20080272421A1 (en) 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US20120156373A1 (en) 2008-06-05 2012-06-21 American Air Liquide, Inc. Preparation of cerium-containing precursors and deposition of cerium-containing films
EP2174942B1 (en) 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
KR100936490B1 (ko) 2009-05-08 2010-01-13 주식회사 유피케미칼 금속 산화막, 금속 질화막 및 순수 금속 박막 증착용 유기 금속 전구체 화합물과 그 제조방법 및, 그 화합물을 이용한 박막 증착 방법
US8642797B2 (en) 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
US8860002B2 (en) * 2012-12-20 2014-10-14 Intermolecular, Inc. Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells
EP2810949A1 (en) * 2013-06-04 2014-12-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium precursors and their use
US9518075B2 (en) 2013-12-13 2016-12-13 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050117286A (ko) * 2004-06-10 2005-12-14 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
CN101121734A (zh) * 2006-07-31 2008-02-13 罗门哈斯电子材料有限公司 有机金属化合物
CN101348900A (zh) * 2007-06-05 2009-01-21 罗门哈斯电子材料有限公司 有机金属化合物

Also Published As

Publication number Publication date
US10259836B2 (en) 2019-04-16
KR102442621B1 (ko) 2022-09-13
KR20170063092A (ko) 2017-06-08
CN107026072A (zh) 2017-08-08
US20170152277A1 (en) 2017-06-01

Similar Documents

Publication Publication Date Title
CN107026072B (zh) 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
US10651031B2 (en) Tantalum compound
KR20240011854A (ko) 원자층 증착에 의해 기판 상에 전이 금속 니오븀 질화물막을 형성하기 위한 방법 및 관련 반도체 소자 구조물
US10752645B2 (en) Method of forming a thin film
CN107619419B (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
JP7140476B2 (ja) アルミニウム化合物を利用した薄膜形成方法、並びに集積回路素子の製造方法
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
KR20180015305A (ko) Nmos 금속 게이트 물질들, 그 제조 방법들, 및 금속계 전구체들을 사용하는 cvd 및 ald 프로세스들 장비
US20150255276A1 (en) Organometallic precursors and methods of forming thin layers using the same
JP2018503247A (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US11466043B2 (en) Niobium compound and method of forming thin film
TW202402771A (zh) 鈮、釩、鉭成膜組成物及利用其沉積含第v(五)族之膜
KR20230045031A (ko) 헤테로알킬시클로펜타디에닐 인듐-함유 전구체 및 인듐-함유 층의 증착을 위해 이를 사용하는 방법
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
CN115104178A (zh) 形成高品质含Si膜的超低温ALD

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant