KR20170063092A - 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 - Google Patents

니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 Download PDF

Info

Publication number
KR20170063092A
KR20170063092A KR1020150169058A KR20150169058A KR20170063092A KR 20170063092 A KR20170063092 A KR 20170063092A KR 1020150169058 A KR1020150169058 A KR 1020150169058A KR 20150169058 A KR20150169058 A KR 20150169058A KR 20170063092 A KR20170063092 A KR 20170063092A
Authority
KR
South Korea
Prior art keywords
niobium
amd
ipr
film
gnd
Prior art date
Application number
KR1020150169058A
Other languages
English (en)
Other versions
KR102442621B1 (ko
Inventor
임재순
클레망 란살롯
노원태
박규희
조윤정
줄리앙 리에프리지
이주호
Original Assignee
삼성전자주식회사
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 삼성전자주식회사
Priority to KR1020150169058A priority Critical patent/KR102442621B1/ko
Priority to CN201610986776.4A priority patent/CN107026072B/zh
Priority to US15/363,088 priority patent/US10259836B2/en
Publication of KR20170063092A publication Critical patent/KR20170063092A/ko
Application granted granted Critical
Publication of KR102442621B1 publication Critical patent/KR102442621B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/005Compounds of elements of Group 5 of the Periodic System without metal-carbon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/702Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof of thick-or thin-film circuits or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]

Abstract

다음 식
Nb(R5Cp)2(L)
(식중, 각각의 R 은 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 독립적으로 H 또는 C1 내지 C6의 알킬기이고, Cp는 시클로펜타디에닐기이고, L 은 포름아미디네이트 (NR , R'-fmd), 아미디네이트 (NR , R' R''-amd), 및 구아니디네이트 (NR , R', NR'' , R'''-gnd) 중에서 선택됨)의 니오븀 화합물을 포함하는 전구체 조성물과 반응물을 공급하여 니오븀 함유막을 형성한다.

Description

니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 {Methods of forming thin film and integrated circuit device using niobium compound}
본 발명의 기술적 사상은 금속 전구체를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법에 관한 것으로, 특히 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법에 관한 것이다.
전자 기술의 발달로 인해, 최근 반도체 소자의 다운-스케일링(down-scaling)이 급속도로 진행되고 있으며, 이에 따라 전자 소자를 구성하는 패턴들이 미세화되어 가고 있다. 이에 수반하여 비교적 높은 일함수를 제공하는 금속 질화막, 예를 들면 질화니오븀 박막이 다양한 기술 분야에서 광범위하게 이용되고 있다. NbN 박막을 형성하기 위하여 하지막(underlayer)에 손상을 가하지 않으면서 공정 안정성 및 양산성 측면에서 유리한 니오븀 전구체의 개발이 필요하다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 하지막에 손상을 가하지 않으면서 우수한 공정 안정성 및 양산성을 제공할 수 있는 니오븀 화합물을 이용하여 원하는 전기적 특성을 제공할 수 있는 박막 형성 방법을 제공하는 것이다.
본 발명의 기술적 사상이 이루고자 하는 다른 기술적 과제는 우수한 공정 안정성 및 양산성을 제공할 수 있는 니오븀 화합물을 이용하여 원하는 전기적 특성을 가지는 집적회로 소자의 제조 방법을 제공하는 것이다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서는 다음 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과, 반응물을 사용하여 기판 위에 니오븀 함유막을 형성하는 단계를 포함한다.
식 (1)
Nb(R5Cp)2(L)
식 (1)에서, 각각의 R 은 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 독립적으로 H 또는 C1 내지 C6의 알킬기이고, Cp는 시클로펜타디에닐기이고, L 은 포름아미디네이트 (NR , R'-fmd), 아미디네이트 (NR , R' R''-amd), 및 구아니디네이트 (NR , R', NR'' , R'''-gnd) 중에서 선택된다.
상기 니오븀 화합물은 화학식 1, 화학식 2, 및 화학식 3 중 어느 하나의 식을 가질 수 있다.
[화학식 1]
Figure pat00001
[화학식 2]
Figure pat00002
[화학식 3]
Figure pat00003
화학식 1, 화학식 2, 및 화학식 3에서, R, R', R'', 및 R'''은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 상기 정의한 바와 같다.
일부 실시예들에서, 상기 니오븀 전구체 조성물은 상기 식 (1)의 니오븀 화합물 만으로 이루어질 수 있다.
다른 일부 실시예들에서, 상기 니오븀 전구체 조성물은 상기 식 (1)의 니오븀 화합물과, 유기 화합물, 금속, 또는 이들의 조합으로 이루어지는 상기 불순물을 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서, 상기 반응물은 N2, NH3, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, 피라졸린(pyrazoline), 이들의 라디칼, 및 이들의 혼합물 중에서 선택될 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서, 상기 니오븀 함유막을 형성하는 단계는 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물을 기화시키는 단계와, 상기 기화된 니오븀 전구체 조성물을 상기 기판 상에 공급하여 상기 기판 상에 Nb 소스 흡착층을 형성하는 단계와, 상기 반응물을 상기 Nb 소스 흡착층 위에 공급하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 기화된 니오븀 전구체 조성물을 상기 기판 상에 공급하기 전에 상기 기화된 니오븀 전구체 조성물을 플라즈마 처리하는 단계를 더 포함할 수 있다. 일부 실시예들에서, 상기 반응물을 상기 Nb 소스 흡착층 위에 공급하기 전에 상기 반응물을 플라즈마 처리하는 단계를 더 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서, 상기 니오븀 함유막을 형성하는 단계는 상기 기판 상에 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과, 상기 반응물을 동시에 공급하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 니오븀 전구체 조성물과 상기 반응물을 동시에 공급하는 동안, 상기 니오븀 전구체 조성물 및 상기 반응물 중 적어도 하나를 플라즈마 처리하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서, 상기 니오븀 화합물은 상온에서 액체일 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서는 기판 상에 하부 구조물을 형성한다. 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과 반응물을 사용하여 상기 하부 구조물 상에 니오븀 함유막을 형성한다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 니오븀 화합물은 화학식 1, 화학식 2, 및 화학식 3 중 어느 하나의 식을 가질 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 하부 구조물을 형성하는 단계는 실리콘 산화물보다 더 높은 유전 상수를 가지는 고유전막을 형성하는 단계를 포함하고, 상기 니오븀 함유막을 형성하는 단계는 상기 고유전막 위에 NbN 막을 형성하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 하부 구조물을 형성하는 단계는 상기 기판으로부터 상부로 돌출되는 핀형 활성 영역을 형성하는 단계와, 상기 핀형 활성 영역의 표면에 인터페이스층을 형성하는 단계와, 상기 인터페이스층 위에 고유전막을 형성하는 단계를 포함할 수 있다. 그리고, 상기 니오븀 함유막을 형성하는 단계는 상기 고유전막 위에 NbN 막을 형성하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 사용되는 니오븀 화합물은 융점이 비교적 낮고, 액체 상태에서 운송이 가능하며, NbN 박막을 형성하는 데 필요한 질소 함유 반응물과의 반응성이 우수하다. 또한, NbN 박막을 형성 공정에 사용될 때 운송(delivery)이 용이하여, ALD (atomic layer deposition), CVD (chemical vapor deposition) 등과 같은 박막 증착 공정을 수행하는 데 필요한 원료 화합물이 기화된 상태로 공급되는 퇴적 공정에서 니오븀 함유 박막을 형성하기 위한 니오븀 전구체로서 사용하기 적합하다. 본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법에 따라 형성된 니오븀 함유막은 비교적 적은 불순물을 함유할 수 있으며, 따라서 향상된 밀도를 가지게 되어 누설 전류 개선 효과를 얻을 수 있다. 특히, 본 발명의 기술적 사상에 의한 니오븀 화합물은 니오븀 함유막이 형성되는 하지막, 예를 들면 고유전막을 손상시키는 원인을 제공하는 원소, 예를 들면 Cl 원소를 함유하지 않는다. 따라서, 본 발명의 기술적 사상에 의한 니오븀 화합물을 이용한 박막 형성 과정 중에 하지막의 손상 없이 비교적 높은 일함수를 가지는 Nb 함유막을 안정적으로 형성할 수 있다. 이에 따라, 누설 전류를 야기하거나 전기적 특성을 저하시키지 않고, 원하는 특성을 가지는 집적회로 소자를 구현할 수 있다.
도 1은 비스-싸이클로펜타다이에닐 다이아이소프로필아세트아미니디네이토 니오븀 (NbCp2(NiPr Me-amd))의 1H-NMR (Proton Nuclear Magnetic Resonance) 스펙트럼이다.
도 2는 NbCp2(NiPr Me-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA (thermogravimetric analysis) 그래프이다.
도 3은 비스-싸이클로펜타다이에닐 다이아이소프로필발레라아미니디네이토 니오븀 (NbCp2(NiPr nBu-amd))의 1H-NMR 스펙트럼이다.
도 4는 NbCp2(NiPr nBu-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA 그래프이다.
도 5는 300 ∼ 450 ℃의 온도 범위 내에서 반응물 없이 NbCp2(NiPr nBu-amd) 을 이용한 박막의 증착 속도를 보여주는 그래프이다.
도 6은 350 ℃에서 NbCp2(NiPr nBu-amd) 전구체를 사용하여 전구체의 공급 시간에 따른 NbN 박막의 성장 속도를 나타낸 그래프이다.
도 7은 NbCp2(NiPr nBu-amd)를 사용하여 NbN 박막을 형성할 때 챔버 온도에 따른 NbN 박막의 성장 속도를 나타내는 그래프이다.
도 8은 NbCp2(NiPr nBu-amd)를 사용하여 350 ℃에서 증착된 박막의 XPS (X-ray Photoelectron Spectroscopy) 분석 결과를 보여주는 그래프이다.
도 9는 NbCp2(NiPr nBu-amd)를 사용하여 375 ℃에서 증착된 박막의 XPS 분석 결과를 보여주는 그래프이다.
도 10은 비스-메틸싸이클로펜타다이에닐다이아이소프로필아세트아미니디네이토 니오븀 (Nb(MeCp)2(NiPr Me-amd))의 1H-NMR 스펙트럼이다.
도 11은 Nb(MeCp)2(NiPr Me-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA 그래프이다.
도 12는 비스-메틸싸이클로펜타다이에닐 다이아이소프로필발레라아미니디네이토 니오븀 (Nb(MeCp)2(NiPr nBu-amd))의 1H-NMR 스펙트럼이다.
도 13은 Nb(MeCp)2(NiPr nBu-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA 그래프이다.
도 14는 비스-메틸싸이클로펜타다이에닐 t-부틸, 에틸아세트아미니디네이토 니오븀 (Nb(MeCp)2(NtBu, Et Me-amd))의 1H-NMR 스펙트럼이다.
도 15는 Nb(MeCp)2(NtBu, Et Me-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA 그래프이다.
도 16은 비스-에틸싸이클로펜타다이에닐 다이아이소프로필아세트아미니디네이토 니오븀 (Nb(EtCp)2(NiPr Me-amd))의 1H-NMR 스펙트럼이다.
도 17은 Nb(EtCp)2(NiPr Me-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA 그래프이다.
도 18은 Nb(EtCp)2(NiPr Me-amd)을 이용한 NbN 박막의 증착 속도를 보여주는 그래프이다.
도 19는 Nb(EtCp)2(NiPr Me-amd)을 이용하여 350 ℃에서 형성한 NbN 박막의 XPS 분석 결과를 보여주는 그래프이다.
도 20은 Nb(EtCp)2(NiPr Me-amd)를 이용하여 400 ℃에서 형성한 NbN 박막의 XPS 분석 결과를 보여주는 그래프이다.
도 21은 비스-아이소프로필싸이클로펜타다이에닐 다이아이소프로필아세트아미니디네이토 니오븀 (Nb(iPrCp)2(NiPr Me-amd))의 1H-NMR 스펙트럼이다.
도 22는 Nb(iPrCp)2(NiPr Me-amd)의 온도 증가에 따른 중량 손실 백분율을 보여주는 TGA 그래프이다.
도 23a 내지 도 23j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 24a 내지 도 24c는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 도면들로서, 도 24a는 형성하고자 하는 집적회로 소자의 평면도이고, 도 24b는 도 24a의 집적회로 소자의 사시도이고, 도 24c는 도 24a의 X - X' 선 단면 및 Y - Y' 선 단면 구성을 보여주는 단면도이다.
도 25는 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 소자의 요부 구성을 보여주는 블록 다이어그램이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것으로, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역, 층들, 부위 및/또는 구성 요소들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들, 부위 및/또는 구성 요소들은 이들 용어에 의해 한정되어서는 안 됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열을 의미하지 않으며, 하나의 부재, 영역, 부위, 또는 구성 요소를 다른 부재, 영역, 부위 또는 구성 요소와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역, 부위 또는 구성 요소는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역, 부위 또는 구성 요소를 지칭할 수 있다. 예를 들면, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.
달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.
어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들면, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.
첨부 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예들은 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다. 여기에 사용되는 모든 용어 "및/또는"은 언급된 구성 요소들의 각각 및 하나 이상의 모든 조합을 포함한다. 또한, 본 명세서에서 사용되는 용어 "기판"은 기판 그 자체, 또는 기판과 그 표면에 형성된 소정의 층 또는 막 등을 포함하는 적층 구조체를 의미할 수 있다. 또한, 본 명세서에서 "기판의 표면"이라 함은 기판 그 자체의 노출 표면, 또는 기판 위에 형성된 소정의 층 또는 막 등의 외측 표면을 의미할 수 있다.
이하의 설명 및 특허청구범위 전반에 걸쳐 특정 약어, 기호, 및 용어가 사용될 수 있으며, 이들의 의미는 다음에 기재한 바와 같다.
본 명세서에 사용된 용어 "약"은 언급된 값의 ±10 %의 범위 내의 값을 의미한다. 본 명세서에서는 원소 주기율표의 원소들의 표준 약어를 사용할 수 있다. 예를 들면, Nb 는 니오븀을 지칭하고, N 은 질소를 지칭하고, C 는 탄소를 지칭한다. 본 명세서에서 사용되는 용어 "독립적으로" 는 R 기를 기술하는 맥락으로 사용시에 대상 R 기가 동일 또는 상이한 아래첨자 또는 위첨자를 갖는 다른 R 기에 대해 독립적으로 선택되는 것뿐만 아니라, 동일 R 기의 임의의 추가 종에 대해서도 독립적으로 선택되는 것을 나타낸다. 예를 들면, x 가 2 또는 3 인 식 MR1 x (NR2R3)(4-x) 에서, 2 개 또는 3 개의 R1 기는 서로 동일하거나 또는 R2 또는 R3 과 동일할 수도 있으나, 반드시 동일할 필요는 없다.
본 명세서에서 사용되는 용어 "알킬기" 는 오로지 탄소 및 수소 원자만을 함유하는 포화 관능기를 지칭한다. 또한, 용어 "알킬기" 는 선형, 분지형, 또는 고리형 알킬기를 지칭한다. 선형 알킬기의 예로는 메틸기, 에틸기, 프로필기, 부틸기 등을 포함할 수 있으나, 이들에 한정되는 것은 아니다. 분지형 알킬기의 예로는 t-부틸을 포함할 수 있으나, 이에 한정되는 것은 아니다. 고리형 알킬기의 예로는 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함할 수 있으나, 이들에 한정되는 것은 아니다.
본 명세서에서 사용되는 약어 "Me" 는 메틸기를 지칭하고, 약어 "Et" 는 에틸기를 지칭하고, 약어 "Pr" 은 프로필기를 지칭하고, 약어 "nPr"은 노르말프로필 또는 선형 프로필기를 지칭하고, 약어 "iPr" 은 이소프로필기를 지칭하고, 약어 "Bu" 는 부틸기를 지칭하고, 약어 "nBu"는 노르말 부틸기 또는 선형 부틸기를 지칭하고, 약어 "tBu" 는 tert-부틸기 (1,1-디메틸에틸기)를 지칭하고; 약어 "sBu" 는 sec-부틸기 (1-메틸프로필기)을 지칭하고, 약어 "iBu" 는 이소-부틸기 (2-메틸프로필기)를 지칭하고, 약어 "아밀"은 아밀 또는 펜틸기를 지칭하고, 약어 "tAmyl" 또는 "tAm"은 tert-아밀기 (1,1-디메틸프로필기)를 지칭한다.
본 명세서에서 사용되는 약어 "Cp"는 시클로펜타디에닐기를 지칭하고, 약어 "Cp*"는 펜타메틸시클로펜타디에닐기를 지칭하고, 약어 "TMS"는 트리메틸실릴 (Me3Si-) 을 지칭한다.
본 명세서에서, 약어 "NR , R'-fmd" 또는 R=R'인 경우 약어 "NR-fmd"는 화학식 4와 같은 포름아미디네이트 리간드 [R-N-C(H)=N-R']를 지칭한다. 여기서, R 및 R'은 알킬기, 예를 들면 Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu 이다.
[화학식 4]
Figure pat00004
본 명세서에서, 약어 "NR , R' R''-amd" 또는 R=R'인 경우 약어 "NR R''-amd"는 화학식 5와 같은 아미디네이트 리간드 [R-N-C(R'')=N-R']를 지칭한다. 여기서, R, R', 및 R''은 알킬기, 예를 들면 Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu 이다.
[화학식 5]
Figure pat00005
본 명세서에서, 약어 "NR , R', NR'' , R'''-gnd" 또는 R=R' 및 R''=R'''인 경우 약어 "NR, NR''-gnd"는 화학식 6과 같은 구아니디네이트 리간드 [R-N-C(NR''R''')=N-R']를 지칭한다. 여기서, R, R', R'', 및 R'''은 알킬기, 예를 들면 Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu 이다.
[화학식 6]
Figure pat00006
화학식 4, 화학식 5, 및 화학식 6에서, M은 중심 금속, 예를 들면 Nb이다.
본 명세서에서 리간드 백본의 C 와 N 사이에 이중 결합을 갖는 것으로 나타내었으나, 포름아미디네이트 리간드, 아미디네이트 리간드, 및 구아니디네이트 리간드가 각각 고정된 이중 결합을 포함하는 것이 아니라 1 개의 전자가 N-C-N 사슬 중에서 비편재화된 것임을 당업자들은 잘 알 수 있을 것이다.
본 발명의 기술적 사상에 의한 니오븀 화합물은 다음 식 (1)로 표시될 수 있다.
식 (1)
Nb(R5Cp)2(L)
식 (1)에서, 각각의 R 은 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 독립적으로 H 또는 C1 내지 C6의 알킬기이고, Cp는 시클로펜타디에닐기이고, L 은 포름아미디네이트 (NR, R'-fmd), 아미디네이트 (NR, R' R''-amd), 및 구아니디네이트 (NR, R', NR'', R'''-gnd) 중에서 선택될 수 있다. 상기 알킬기는 메틸기, 에틸기, 프로필기, 부틸기, 또는 펜틸기일 수 있다.
일부 실시예들에서, L 은 포름아미디네이트이고, 식 (1)의 니오븀 화합물은 화학식 1로 표시될 수 있다.
[화학식 1]
Figure pat00007
화학식 1에서, R 및 R'은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이다. 각각의 R1 은 상기 정의한 바와 같다.
화학식 1에 따른 니오븀 화합물은 식 (2)로 표시될 수 있다.
식 (2)
Nb(R5Cp)2(NR, R’-fmd)
식 (2)에서, R 및 R'은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 독립적으로 H 또는 C1 내지 C6의 알킬기이다. R=R'인 경우, 식 (2)는 "Nb(R5Cp)2(NR-fmd)"로 표시될 수 있다.
일부 실시예들에서, 화학식 1에 따른 니오븀 화합물은 Nb(Cp)2(NMe-fmd), Nb(Cp)2(NEt-fmd), Nb(Cp)2(NiPr-fmd), Nb(Cp)2(NnPr-fmd), Nb(Cp)2(NiBu-fmd), Nb(Cp)2(NnBu-fmd), Nb(Cp)2(NtBu-fmd), Nb(Cp)2(NsBu-fmd), Nb(Cp)2(NtAm-fmd), Nb(Cp)2(NTMS-fmd), Nb(MeCp)2(NMe-fmd), Nb(MeCp)2(NEt-fmd), Nb(MeCp)2(NiPr-fmd), Nb(MeCp)2(NnPr-fmd), Nb(MeCp)2(NiBu-fmd), Nb(MeCp)2(NnBu-fmd), Nb(MeCp)2(NtBu-fmd), Nb(MeCp)2(NsBu-fmd), Nb(MeCp)2(NtAm-fmd), Nb(MeCp)2(NTMS-fmd), Nb(EtCp)2(NMe-fmd), Nb(EtCp)2(NEt-fmd), Nb(EtCp)2(NiPr-fmd), Nb(EtCp)2(NnPr-fmd), Nb(EtCp)2(NiBu-fmd), Nb(EtCp)2(NnBu-fmd), Nb(EtCp)2(NtBu-fmd), Nb(EtCp)2(NsBu-fmd), Nb(EtCp)2(NtAm-fmd), Nb(EtCp)2(NTMS-fmd), Nb(iPrCp)2(NMe-fmd), Nb(iPrCp)2(NEt-fmd), Nb(iPrCp)2(NiPr-fmd), Nb(iPrCp)2(NnPr-fmd), Nb(iPrCp)2(NiBu-fmd), Nb(iPrCp)2(NnBu-fmd), Nb(iPrCp)2(NtBu-fmd), Nb(iPrCp)2(NsBu-fmd), Nb(iPrCp)2(NtAm-fmd), Nb(iPrCp)2(NTMS-fmd), Nb(tBuCp)2(NMe-fmd), Nb(tBuCp)2(NEt-fmd), Nb(tBuCp)2(NiPr-fmd), Nb(tBuCp)2(NnPr-fmd), Nb(tBuCp)2(NiBu-fmd), Nb(tBuCp)2(NnBu-fmd), Nb(tBuCp)2(NtBu-fmd), Nb(tBuCp)2(NsBu-fmd), Nb(tBuCp)2(NtAm-fmd), Nb(tBuCp)2(NTMS-fmd), Nb(iPr3Cp)2(NMe-fmd), Nb(iPr3Cp)2(NEt-fmd), Nb(iPr3Cp)2(NiPr-fmd), Nb(iPr3Cp)2(NnPr-fmd), Nb(iPr3Cp)2(NiBu-fmd), Nb(iPr3Cp)2(NnBu-fmd), Nb(iPr3Cp)2(NtBu-fmd), Nb(iPr3Cp)2(NsBu-fmd), Nb(iPr3Cp)2(NtAm-fmd), Nb(iPr3Cp)2(NTMS-fmd), Nb(Cp*)2(NMe-fmd), Nb(Cp*)2(NEt-fmd), Nb(Cp*)2(NiPr-fmd), Nb(Cp*)2(NnPr-fmd), Nb(Cp*)2(NiBu-fmd), Nb(Cp*)2(nBu-fmd), Nb(Cp*)2(tBu-fmd), Nb(Cp*)2(NsBu-fmd), Nb(Cp*)2(NtAm-fmd), Nb(Cp*)2(NTMS-fmd), Nb(Me3SiCp)2(NMe-fmd), Nb(Me3SiCp)2(NEt-fmd), Nb(Me3SiCp)2(NiPr-fmd), Nb(Me3SiCp)2(NnPr-fmd), Nb(Me3SiCp)2(NiBu-fmd), Nb(Me3SiCp)2(NnBu-fmd), Nb(Me3SiCp)2(NtBu-fmd), Nb(Me3SiCp)2(NsBu-fmd), Nb(Me3SiCp)2(NtAm-fmd), Nb(Me3SiCp)2(NTMS-fmd), Nb(Cp)(Cp*)(NMe-fmd), Nb(Cp)(iPr3Cp)(NMe-fmd), Nb(Cp)(MeCp)(NEt-fmd), Nb(Cp)(EtCp)(NiPr-fmd), Nb(Cp)(iPrCp)(NnPr-fmd), Nb(Cp)(nPrCp)(NiBu-fmd), Nb(Cp)(iBuCp)(NnBu-fmd), Nb(Cp)(tBuCp)(NtBu-fmd), Nb(Cp)(tAmCp)(NsBu-fmd), Nb(iPr3Cp)(Cp)(NEt-fmd), Nb(Cp)2(NEt, tBu-fmd), Nb(MeCp)2(NEt, tBu-fmd), Nb(EtCp)2(NEt, tBu-fmd), Nb(iPrCp)2(NEt, tBu-fmd), Nb(tBuCp)2(NEt, tBu-fmd), Nb(iPr3Cp)2(NEt, tBu-fmd), Nb(Cp*)(NEt, tBu-fmd), 또는 Nb(Me3SiCp)2(NEt, tBu-fmd) 일 수 있다.
화학식 1의 니오븀 화합물은 Nb(R5Cp)2X2 를 2 당량의 Z(NR , R’-fmd) 와 반응시켜 합성될 수 있다. 여기서, X 는 F, Cl, Br, 및 I 로 이루어지는 할로겐 원소들 중에서 선택될 수 있다. Z 는 Li, Na, 및 K 로 이루어지는 알칼리 금속 원소들 중에서 선택될 수 있다. R 및 R'은 각각 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me 일 수 있다. Nb(R5Cp)2X2 는 문헌 [J.C.S. Dalton 1980, 180-186]에 기재된 바와 같이 합성할 수 있다. Z(NR, R’-fmd) 는 예를 들면 알킬 알칼리금속, 예를 들면 n-부틸 리튬 (nBuLi)과 포름아미딘 분자의 반응에 의해 합성할 수 있다. 상기 포름아미딘 분자는 문헌 [Organometallics 2004, 23, 3512-3520] 에 기재된 절차에 따라 합성될 수 있다. 반응물(reactant)은 -50 ℃ 미만의 저온에서 첨가될 수 있다. 반응은 극성 용매, 예들 들면 THF 또는 디에틸에테르 중에서 수행될 수 있다. 니오븀 화합물은 펜탄, 헥산, 시클로헥산, 헵탄, 벤젠 및 톨루엔 등과 같은 비극성 용매로 추출하여 알칼리 염으로부터 분리할 수 있다. 합성 완료한 니오븀 화합물을 포함한 결과물은 진공 승화, 진공 증류, 또는 THF, 디에틸에테르, 펜탄, 헥산, 시클로헥산, 헵탄, 벤젠, 톨루엔, 또는 이들의 혼합물로부터 선택되는 적절한 용매 내에서 재결정화에 의해 정제될 수 있다.
일부 실시예들에서, 화학식 1의 니오븀 화합물은 상온에서 액체일 수 있다. 본 명세서에서 사용되는 용어 "상온"은 약 20 ∼ 28 ℃이며, 계절에 따라 다를 수 있다.
일부 실시예들에서, L 은 아미디네이트이고, 식 (1)의 니오븀 화합물은 화학식 2로 표시될 수 있다.
[화학식 2]
Figure pat00008
화학식 2에서,
R, R', 및 R''은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이다. 각각의 R1 은 상기 정의한 바와 같다. 상기 알킬기는 메틸기, 에틸기, 프로필기, 부틸기, 또는 펜틸기일 수 있다.
화학식 2에 따른 니오븀 화합물은 식 (3)으로 표시될 수 있다.
식 (3)
Nb(R5Cp)2(NR, R’R''-amd)
식 (3)에서, R, R', 및 R''은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이다. 각각의 R1 은 상기 정의한 바와 같다. R=R'인 경우, 식 (3)은 "Nb(R5Cp)2(NR R''-amd)"로 표시될 수 있다.
일부 실시예들에서, 화학식 2에 따른 니오븀 화합물은 Nb(Cp)2(NMe Me-amd), Nb(Cp)2(NEt Me-amd), Nb(Cp)2(NiPr Me-amd), Nb(Cp)2(NnPr Me-amd), Nb(Cp)2(NiBu Me-amd), Nb(Cp)2(NnBu Me-amd), Nb(Cp)2(NtBu Me-amd), Nb(Cp)2(NsBu Me-amd), Nb(Cp)2(NtAm Me-amd), Nb(Cp)2(NTMS Me-amd), Nb(MeCp)2(NMe Me-amd), Nb(MeCp)2(NEt Me-amd), Nb(MeCp)2(NiPr Me-amd), Nb(MeCp)2(NnPr Me-amd), Nb(MeCp)2(NiBu Me-amd), Nb(MeCp)2(NnBu Me-amd), Nb(MeCp)2(NtBu Me-amd), Nb(MeCp)2(NsBu Me-amd), Nb(MeCp)2(NtAm Me-amd), Nb(MeCp)2(NTMS Me-amd), Nb(EtCp)2(NMe Me-amd), Nb(EtCp)2(NEt Me-amd), Nb(EtCp)2(NiPr Me-amd), Nb(EtCp)2(NnPr Me-amd), Nb(EtCp)2(NiBu Me-amd), Nb(EtCp)2(NnBu Me-amd), Nb(EtCp)2(NtBu Me-amd), Nb(EtCp)2(NsBu Me-amd), Nb(EtCp)2(NtAm Me-amd), Nb(EtCp)2(NTMS Me-amd), Nb(iPrCp)2(NMe Me-amd), Nb(iPrCp)2(NEt Me-amd), Nb(iPrCp)2(NiPr Me-amd), Nb(iPrCp)2(NnPr Me-amd), Nb(iPrCp)2(NiBu Me-amd), Nb(iPrCp)2(NnBu Me-amd), Nb(iPrCp)2(NtBu Me-amd), Nb(iPrCp)2(NsBu Me-amd), Nb(iPrCp)2(NtAm Me-amd), Nb(iPrCp)2(NTMS Me-amd), Nb(tBuCp)2(NMe Me-amd), Nb(tBuCp)2(NEt Me-amd), Nb(tBuCp)2(NiPr Me-amd), Nb(tBuCp)2(NnPr Me-amd), Nb(tBuCp)2(NiBu Me-amd), Nb(tBuCp)2(NnBu Me-amd), Nb(tBuCp)2(NtBu Me-amd), Nb(tBuCp)2(NsBu Me-amd), Nb(tBuCp)2(NtAm Me-amd), Nb(tBuCp)2(NTMS Me-amd), Nb(iPr3Cp)2(NMe Me-amd), Nb(iPr3Cp)2(NEt Me-amd), Nb(iPr3Cp)2(NiPr Me-amd), Nb(iPr3Cp)2(NnPr Me-amd), Nb(iPr3Cp)2(NiBu Me-amd), Nb(iPr3Cp)2(NnBu Me-amd), Nb(iPr3Cp)2(NtBu Me-amd), Nb(iPr3Cp)2(NsBu Me-amd), Nb(iPr3Cp)2(NtAm Me-amd), Nb(iPr3Cp)2(NTMS Me-amd), Nb(Cp*)2(NMe Me-amd), Nb(Cp*)2(NEt Me-amd), Nb(Cp*)2(NiPr Me-amd), Nb(Cp*)2(NnPr Me-amd), Nb(Cp*)2(NiBu Me-amd), Nb(Cp*)2(nBu Me-amd), Nb(Cp*)2(tBu Me-amd), Nb(Cp*)2(NsBu Me-amd), Nb(Cp*)2(NtAm Me-amd), Nb(Cp*)2(NTMS Me-amd), Nb(Me3SiCp)2(NMe Me-amd), Nb(Me3SiCp)2(NEt Me-amd), Nb(Me3SiCp)2(NiPr Me-amd), Nb(Me3SiCp)2(NnPr Me-amd), Nb(Me3SiCp)2(NiBu Me-amd), Nb(Me3SiCp)2(NnBu Me-amd), Nb(Me3SiCp)2(NtBu Me-amd), Nb(Me3SiCp)2(NsBu Me-amd), Nb(Me3SiCp)2(NtAm Me-amd), Nb(Me3SiCp)2(NTMS Me-amd), Nb(Cp)(Cp*)(NMe Me-amd), Nb(Cp)(iPr3Cp)(NMe Me-amd), Nb(Cp)(MeCp)(NEt Me-amd), Nb(Cp)(EtCp)(NiPr Me-amd), Nb(Cp)(iPrCp)(NnPr Me-amd), Nb(Cp)(nPrCp)(NiBu Me-amd), Nb(Cp)(iBuCp)(NnBu Me-amd), Nb(Cp)(tBuCp)(NtBu Me-amd), Nb(Cp)(tAmCp)(NsBu Me-amd), Nb(Cp)2(NiPr Et-amd), Nb(Cp)2(NiPr nPr-amd), Nb(Cp)2(NiPr iPr-amd), Nb(Cp)2(NiPr tBu-amd), Nb(Cp)2(NiPr nBu-amd), Nb(Cp)2(NiPr iBu-amd), Nb(Cp)2(NiPr sBu-amd), Nb(MeCp)2(NiPr Et-amd), Nb(MeCp)2(NiPr nPr-amd), Nb(MeCp)2(NiPr iPr-amd), Nb(MeCp)2(NiPr tBu-amd), Nb(MeCp)2(NiPr nBu-amd), Nb(MeCp)2(NiPr iBu-amd), Nb(MeCp)2(NiPr sBu-amd), Nb(EtCp)2(NiPr Et-amd), Nb(EtCp)2(NiPr nPr-amd), Nb(EtCp)2(NiPr iPr-amd), Nb(EtCp)2(NiPr tBu-amd), Nb(EtCp)2(NiPr nBu-amd), Nb(EtCp)2(NiPr iBu-amd), 그리고Nb(EtCp)2(NiPr sBu-amd), Nb(MeCp)2(NEt, tBu Me-amd), Nb(EtCp)2(NEt, tBu Me-amd), Nb(iPrCp)2(NEt, tBu Me-amd), Nb(tBuCp)2(NEt, tBu Me-amd), Nb(iPr3Cp)2(NEt, tBu Me-amd), Nb(Cp*)2(NEt, tBu Me-amd), Nb(Me3SiCp)2(NEt, tBu Me-amd), Nb(Cp)(iPr3Cp)(NiPr Me-amd), Nb(Cp)2(NiPr sBu-amd), Nb(iPr3Cp)2(NiPr Et-amd), Nb(iPr3Cp)2(NiPr nPr-amd), Nb(iPr3Cp)2(NiPr nPr-amd), Nb(iPr3Cp)2(NiPr iPr-amd), Nb(iPr3Cp)2(NiPr nBu-amd), Nb(iPr3Cp)2(NiPr tBu-amd), Nb(iPr3Cp)2(NiPr sBu-amd), 또는 Nb(iPr3Cp)2(NiPr iBu-amd) 일 수 있다.
화학식 2의 니오븀 화합물은 Nb(R5Cp)2X2 를 2 당량의 Z(NR , R' R''-amd)과 반응시켜 합성할 수 있다. 여기서, X 는 F, Cl, Br 및 I 로 이루어지는 할로겐 원소들 중에서 선택될 수 있다. Z 는 Li, Na 및 K 로 이루어지는 알칼리 금속 원소들 중에서 선택될 수 있다. R, R' 및 R''은 각각 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me 일 수 있다. Nb(R5Cp)2X2 는 문헌 [J.C.S. Dalton 1980, 180-186]에 기재된 바와 같이 합성될 수 있다. Z(NR, R' R''-amd)는 문헌 [Organometallics 1997, 16, 5183-5194]에서 기재된 바와 같이 제조될 수 있다. 반응물은 -50 ℃의 저온에서 첨가될 수 있다. 반응은 극성 용매, 예를 들면 THF 또는 디에틸에테르 중에서 수행될 수 있다. 니오븀 화합물은 펜탄, 헥산, 시클로헥산, 헵탄, 벤젠 및 톨루엔 등과 같은 비극성 용매를 사용한 추출에 의해 알칼리 염으로부터 분리할 수 있다. 합성 완료한 니오븀 화합물을 포함하는 결과물은 진공 승화, 진공 증류, 또는 THF, 디에틸에테르, 펜탄, 헥산, 시클로헥산, 헵탄, 벤젠, 톨루엔, 및 이들의 혼합물로부터 선택되는 적절한 용매 중에서 재결정화에 의해 정제될 수 있다.
일부 실시예들에서, 화학식 2의 니오븀 화합물은 상온에서 액체일 수 있다.
일부 실시예들에서, L 은 구아니디네이트이고, 식 (1)의 니오븀 화합물은 화학식 3으로 표시될 수 있다.
[화학식 3]
Figure pat00009
화학식 3에서, R, R', R'', 및 R'''은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 각각의 R1 은 상기 정의한 바와 같다. 상기 알킬기는 메틸기, 에틸기, 프로필기, 부틸기, 또는 펜틸기일 수 있다.
화학식 3에 따른 니오븀 화합물은 식 (4)로 표시될 수 있다.
식 (4)
Nb(R5Cp)2(NR, R’, NR'', R'''-gnd)
식 (4)에서, R, R', R'', 및 R'''은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 상기 정의한 바와 같다. R=R'인 경우, 식 (4)는 "Nb(R5Cp)2(NR, NR'''-gnd)"로 표시될 수 있다.
일부 실시예들에서, 화학식 3에 따른 니오븀 화합물은 Nb(Cp)2(NMe, NMe-gnd), Nb(Cp)2(NEt, NMe-gnd), Nb(Cp)2(NiPr, NMe-gnd), Nb(Cp)2(NnPr, NMe-gnd), Nb(Cp)2(NiBu, NMe-gnd), Nb(Cp)2(NnBu, NMe-gnd), Nb(Cp)2(NtBu, NMe-gnd), Nb(Cp)2(NsBu, NMe-gnd), Nb(Cp)2(NtAm, NMe-gnd), Nb(Cp)2(NTMS, NMe-gnd), Nb(Cp)2(NEt, tBu, NMe-gnd), Nb(MeCp)2(NMe, NMe-gnd), Nb(MeCp)2(NEt, NMe-gnd), Nb(MeCp)2(NiPr, NMe-gnd), Nb(MeCp)2(NnPr, NMe-gnd), Nb(MeCp)2(NiBu, NMe-gnd), Nb(MeCp)2(NnBu, NMe-gnd), Nb(MeCp)2(NtBu, NMe-gnd), Nb(MeCp)2(NsBu, NMe-gnd), Nb(MeCp)2(NtAm, NMe-gnd), Nb(MeCp)2(NTMS, NMe-gnd), Nb(MeCp)2(NEt, tBu, NMe-gnd), Nb(EtCp)2(NMe, NMe-gnd), Nb(EtCp)2(NEt, NMe-gnd), Nb(EtCp)2(NiPr, NMe-gnd), Nb(EtCp)2(NnPr, NMe-gnd), Nb(EtCp)2(NiBu, NMe-gnd), Nb(EtCp)2(NnBu, NMe-gnd), Nb(EtCp)2(NtBu, NMe-gnd), Nb(EtCp)2(NsBu, NMe-gnd), Nb(EtCp)2(NtAm, NMe-gnd), Nb(EtCp)2(NTMS, NMe-gnd), Nb(EtCp)2(NEt, tBu, NMe-gnd), Nb(iPrCp)2(NMe, NMe-gnd), Nb(iPrCp)2(NEt, NMe-gnd), Nb(iPrCp)2(NiPr, NMe-gnd), Nb(iPrCp)2(NnPr, NMe-gnd), Nb(iPrCp)2(NiBu, NMe-gnd), Nb(iPrCp)2(NnBu, NMe-gnd), Nb(iPrCp)2(NtBu, NMe-gnd), Nb(iPrCp)2(NsBu, NMe-gnd), Nb(iPrCp)2(NtAm, NMe-gnd), Nb(iPrCp)2(NTMS, NMe-gnd), Nb(iPrCp)2(NEt, tBu, NMe-gnd), Nb(tBuCp)2(NMe, NMe-gnd), Nb(tBuCp)2(NEt, NMe-gnd), Nb(tBuCp)2(NiPr, NMe-gnd), Nb(tBuCp)2(NnPr, NMe-gnd), Nb(tBuCp)2(NiBu, NMe-gnd), Nb(tBuCp)2(NnBu, NMe-gnd), Nb(tBuCp)2(NtBu, NMe-gnd), Nb(tBuCp)2(NsBu, NMe-gnd), Nb(tBuCp)2(NtAm, NMe-gnd), Nb(tBuCp)2(NTMS, NMe-gnd), Nb(tBuCp)2(NEt, tBu, NMe-gnd), Nb(iPr3Cp)2(NMe, NMe-gnd), Nb(iPr3Cp)2(NEt, NMe-gnd), Nb(iPr3Cp)2(NiPr, NMe-gnd), Nb(iPr3Cp)2(NnPr, NMe-gnd), Nb(iPr3Cp)2(NiBu, NMe-gnd), Nb(iPr3Cp)2(NnBu, NMe-gnd), Nb(iPr3Cp)2(NtBu, NMe-gnd), Nb(iPr3Cp)2(NsBu, NMe-gnd), Nb(iPr3Cp)2(NtAm, NMe-gnd), Nb(iPr3Cp)2(NTMS, NMe-gnd), Nb(iPr3Cp)2(NEt, tBu, NMe-gnd), Nb(Cp*)2(NMe, NMe-gnd), Nb(Cp*)2(NEt, NMe-gnd), Nb(Cp*)2(NiPr, NMe-gnd), Nb(Cp*)2(NnPr, NMe-gnd), Nb(Cp*)2(NiBu, NMe-gnd), Nb(Cp*)2(NnBu, NMe-gnd), Nb(Cp*)2(NtBu, NMe-gnd), Nb(Cp*)2(NsBu, NMe-gnd), Nb(Cp*)2(NtAm, NMe-gnd), Nb(Cp*)2(NTMS, NMe-gnd), Nb(Cp*)2(NEt, tBu, NMe-gnd), Nb(Me3SiCp)2(NMe, NMe-gnd), Nb(Me3SiCp)2(NEt, NMe-gnd), Nb(Me3SiCp)2(NiPr, NMe-gnd), Nb(Me3SiCp)2(NnPr, NMe-gnd), Nb(Me3SiCp)2(NiBu, NMe-gnd), Nb(Me3SiCp)2(NnBu, NMe-gnd), Nb(Me3SiCp)2(NtBu, NMe-gnd), Nb(Me3SiCp)2(NsBu, NMe-gnd), Nb(Me3SiCp)2(NtAm, NMe-gnd), Nb(Me3SiCp)2(NTMS, NMe-gnd), Nb(Me3SiCp)2(NEt, tBu, NMe-gnd), Nb(Cp)(iPr3Cp)(NMe, NMe-gnd), Nb(Cp)(Cp*)(NMe, NMe-gnd), Nb(Cp)(MeCp)(NEt, NMe-gnd), Nb(Cp)(EtCp)(NiPr, NMe-gnd), Nb(Cp)(iPrCp)(NnPr, NMe-gnd), Nb(Cp)(nPrCp)(NiBu, NMe-gnd), Nb(Cp)(iBuCp)(NnBu, NMe-gnd), Nb(Cp)(tBuCp)(NtBu, NMe-gnd), Nb(Cp)(tAmCp)(NsBu, NMe-gnd), Nb(Cp)2(NiPr, NMe, Et-gnd), Nb(Cp)2(NiPr, NEt-gnd), Nb(Cp)2(NiPr, NnPr-gnd), Nb(Cp)2(NiPr, NiPr-gnd), Nb(MeCp)2(NiPr, NMe, Et-gnd), Nb(MeCp)2(NiPr, NEt-gnd), Nb(MeCp)2(NiPr, NnPr-gnd), Nb(MeCp)2(NiPr, NiPr-gnd), Nb(EtCp)2(NiPr, NMe, Et-gnd), Nb(EtCp)2(NiPr, NEt-gnd), Nb(EtCp)2(NiPr, NnPr-gnd), 또는 Nb(EtCp)2(NiPr, NiPr-gnd) 일 수 있다.
화학식 3의 니오븀 화합물은 Nb(R5Cp)2X2 를 2 당량의 Z(NR , R', NR'' , R''' -gnd) 와 반응시켜 합성될 수 있다. 여기서, X 는 F, Cl, Br 및 I 로 이루어지는 할로겐 원소들 중에서 선택될 수 있다. Z 는 Li, Na 및 K 로 이루어지는 알칼리 금속 원소들 중에서 선택될 수 있다. R, R', R'', 및 R'''은 각각 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me 일 수 있다. Nb(R5Cp)2X2 는 문헌 [J.C.S. Dalton 1980, 180-186] 에 기재된 바와 같이 합성될 수 있다. Z(NR, R', NR'', R''' -gnd)는 문헌 [Organometallics 2008, 27, 1596-1604]에 기재된 바와 같이 합성될 수 있다. 반응물은 -50 ℃의 저온에서 합성 진행 할 수 있다. 반응은 극성 용매, 예를 들면 THF (tetrahydrofuran) 또는 디에틸에테르 내에서 합성될 수 있다. 니오븀 화합물은 펜탄, 헥산, 시클로헥산, 헵탄, 벤젠 및 톨루엔 등과 같은 비극성 용매를 사용한 추출에 의해 알칼리 염으로부터 분리될 수 있다. 합성 완료된 니오븀을 포함한 결과물은 진공 승화, 진공 증류, 또는 재결정화에 의해 정제될 수 있다. 상기 재결정화는 THF, 디에틸에테르, 펜탄, 헥산, 시클로헥산, 헵탄, 벤젠, 톨루엔, 및 이들의 혼합물 중에서 선택되는 적절한 용매 내에서 수행될 수 있다.
일부 실시예들에서, 화학식 3의 니오븀 화합물은 상온에서 액체일 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물은 상기 식 (1)로 표시되는 니오븀 화합물과, 불순물을 포함할 수 있다. 상기 불순물은 유기 화합물, 금속, 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 불순물은 상기 니오븀 전구체 조성물의 총량을 기준으로 0.01 ∼ 2.0 중량%의 유기 화합물을 포함할 수 있다.
다른 일부 실시예들에서, 상기 불순물은 상기 니오븀 전구체 조성물의 총량을 기준으로 0 ∼ 1 ppmw의 금속을 포함할 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물 중 식 (1)로 표시되는 니오븀 화합물은 약 95 중량%를 초과하는 양 (예를 들면, 약 95.0 중량% 내지 100.0 중량%)으로 포함될 수 있다. 일부 실시예들에서, 본 발명의 기술적 사상에 의한 니오븀 전구체 조성물 중 식 (1)로 표시되는 니오븀 화합물은 약 98 중량%를 초과하는 양 (예를 들면, 약 98.0 중량% 내지 100.0 중량%)으로 포함될 수 있다. 다른 일부 실시예들에서, 본 발명의 기술적 사상에 의한 니오븀 전구체 조성물 중 식 (1)로 표시되는 니오븀 화합물은 약 99 중량%를 초과하는 양 (예를 들면, 약 99.0 중량% 내지 100.0 중량%)으로 포함될 수 있다. 상기 니오븀 전구체 조성물 중 식 (1)로 표시되는 니오븀 화합물의 순도는 H NMR (Proton Nuclear Magnetic Resonance), 또는 질량 분석계를 구비한 기체 또는 액체 크로마토그래피에 의해 측정될 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물에 포함 가능한 불순물의 예를 들면, 카르보디이미드, 알킬아민, 디알킬아민, 알킬이민, 시클로펜타디엔, 디시클로펜타디엔, THF (tetrahydrofuran), 에테르, 펜탄, 시클로헥산, 헵탄 벤젠, 톨루엔, 염소화 금속 화합물, 리튬, 나트륨 또는 칼륨 포름아미디네이트, 리튬, 나트륨 또는 칼륨 아미디네이트, 리튬, 나트륨 또는 칼륨 구아니디네이트, 리튬, 나트륨 또는 칼륨 시클로펜타디에닐 등을 들 수 있다. 본 발명의 기술적 사상에 의한 니오븀 전구체 조성물 내에서 상기 예시한 불순물의 함량은 상기 니오븀 전구체 조성물의 총량을 기준으로 약 5 중량% 미만 (예를 들면, 약 0.0 중량% 내지 5.0 중량%)일 수 있다. 일부 실시예들에서, 상기 예시한 불순물의 함량은 상기 니오븀 전구체 조성물의 총량을 기준으로 약 2 중량% 미만 (예를 들면, 약 0.0 중량% 내지 2.0 중량%)일 수 있다. 다른 일부 실시예들에서, 상기 예시한 불순물의 함량은 상기 니오븀 전구체 조성물의 총량을 기준으로 약 1 중량% 미만 (예를 들면, 약 0.0 중량% 내지 1.0 중량%)일 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물은 재결정화, 승화, 증류에 의해, 또는 적합한 흡수제, 예를 들면 4A 분자 체 (molecular sieve)를 통해 기체 또는 액체를 통과시켜 정제될 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물은 약 0 ppbw 내지 1 ppmw, 예를 들면 약 0 내지 500 ppbw (part per billion weight) 수준의 금속 불순물을 함유할 수 있다. 상기 금속 불순물은 알루미늄 (Al), 비소 (As), 바륨 (Ba), 베릴륨 (Be), 비스무트 (Bi), 카드뮴 (Cd), 칼슘 (Ca), 크로뮴 (Cr), 코발트 (Co), 구리 (Cu), 갈륨 (Ga), 게르마늄 (Ge), 하프늄 (Hf), 지르코늄 (Zr), 인듐 (In), 철 (Fe), 납 (Pb), 리튬 (Li), 마그네슘 (Mg), 망간 (Mn), 텅스텐 (W), 니켈 (Ni), 칼륨 (K), 나트륨 (Na), 스트론튬 (Sr), 토륨 (Th), 주석 (Sn), 티타늄 (Ti), 우라늄 (U), 및 아연 (Zn) 중에서 선택될 수 있으나, 상기 예시한 바에 한정되는 것은 아니다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물은 기판 상에 니오븀 함유막을 형성하기 위한 니오븀 소스 가스 (source gas)로 사용될 수 있다. 일부 실시예들에서, 상기 니오븀 전구체 조성물은 식 (1)의 니오븀 화합물 만으로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 니오븀 전구체 조성물은 식 (1)의 니오븀 화합물과, 유기 화합물, 금속, 또는 이들의 조합으로 이루어지는 상기 불순물을 포함할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법은 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물 및 반응물을 기판 상에 순차적으로 또는 동시에 공급하면서 기상 증착 공정을 수행하여 상기 기판 위에 니오븀 함유막을 형성할 수 있다. 예를 들면, 상기 니오븀 화합물은 Nb(MeCp)2(NiPr Me-amd), Nb(EtCp)2(NiPr Me-amd), 또는 Nb(iPrCp)2(NiPr Me-amd) 이고, 상기 반응물은 NH3 일 수 있다. 일부 실시예들에서, 상기 니오븀 화합물은 상온에서 액체일 수 있다. 상기 니오븀 함유막을 형성하는 단계는 약 100 ∼ 600 ℃의 온도 범위 및 약 1 ∼ 105 Pa 압력 범위 내에서 수행될 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물을 이용하는 박막 형성 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 소자의 제조에 있어서 유용할 수 있다. 본 발명의 기술적 사상에 의한 니오븀 전구체 조성물을 구성하는 니오븀 화합물은 이미 알려진 여러가지 증착 방법을 사용하여 얻어질 수 있으며, 질화니오븀 박막을 형성하는 데 유용하게 사용될 수 있다.
본 발명의 기술적 사상에 의한 니오븀 화합물을 포함하는 니오븀 전구체 조성물을 이용하여 박막을 형성할 수 있는 적합한 기상 증착 방법의 예로서 CVD (chemical vapor deposition) 방법 및 ALD (atomic layer deposition) 방법을 들 수 있다. CVD 방법의 예는 열 CVD, 플라즈마 강화 CVD (PECVD), 펄스 CVD (PCVD), 저압 CVD (LPCVD), 부압 (sub-atmospheric) CVD (SACVD) 또는 대기압 CVD (APCVD), 열선 (hot-wire) CVD (HWCVD, 또한 cat-CVD 로 표시되며, 여기서 열선이 증착 공정에 대한 에너지원으로 사용됨), 라디칼 혼입 CVD, 및 상기 예시한 CVD 방법들의 조합을 포함한다. ALD 방법의 예는 열 ALD, 플라즈마 강화 ALD (PEALD), 공간 분할 ALD (spatial ALD), 열선 ALD (HWALD), 라디칼 혼입 ALD, 및 상기 예시한 ALD 방법들의 조합을 포함한다. 초임계 유체 증착 방법도 이용될 수 있다. 상기 예시한 다양한 증착 방법들 중 양호한 단차 피복 (step coverage) 및 필름 두께 제어를 위하여 ALD, PE-ALD, 또는 공간 분할 ALD 등이 이용될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 CVD 방법에 의해 니오븀 함유막을 형성하기 위하여, 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과 반응물을 기판 상에 동시에 공급할 수 있다. 일부 실시예들에서, 상기 니오븀 전구체 조성물과 상기 반응물을 기판 상에 동시에 공급하는 동안, 상기 니오븀 전구체 조성물 및 상기 반응물 중 적어도 하나를 플라즈마 처리할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 ALD 방법에 의해 니오븀 함유막을 형성하기 위하여, 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물을 기화시키는 공정과, 상기 기화된 니오븀 전구체 조성물을 상기 기판 상에 공급하여 상기 기판 상에 Nb 소스 흡착층을 형성하는 공정과, 상기 반응물을 상기 Nb 소스 흡착층 위에 공급하는 공정을 포함할 수 있다. 일부 실시예들에서, 상기 기화된 니오븀 전구체 조성물을 상기 기판 상에 공급하기 전에, 상기 기화된 니오븀 전구체 조성물을 플라즈마 처리하는 공정을 더 포함할 수 있다. 다른 일부 실시예들에서, 상기 반응물을 상기 Nb 소스 흡착층 위에 공급하기 전에, 상기 반응물을 플라즈마 처리하는 단계를 더 포함할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 니오븀 함유막을 형성하는 데 있어서, 니오븀 전구체로 사용되는 니오븀 화합물은 니오븀 화합물 자체로, 또는 적절한 용매와의 블렌드 형태로 공급될 수 있다. 상기 용매로서 에틸 벤젠, 자일렌, 메시틸렌, 데칼린, 데칸, 도데칸 등을 사용할 수 있다. 상기 니오븀 화합물은 용매 중에 다양한 농도로 존재할 수 있다.
순수한 니오븀 화합물 또는 블렌드 형태의 니오븀 화합물을 포함하는 니오븀 전구체 조성물은 가열된 용기, 가스 라인, LMFC (liquid mass flow controller), 기화기 등을 이용하여 증기 형태로 반응기에 도입될 수 있다. 상기 니오븀 전구체 조성물을 증기 형태로 만들기 위하여, 순수한 니오븀 화합물 또는 블렌드 형태의 니오븀 화합물을 포함하는 니오븀 전구체 조성물을 가열하여 기화시키거나, 버블링 (bubbling)에 의해 기화시키거나, 기화기를 사용할 수 있다.
순수한 니오븀 화합물 또는 블렌드 형태의 니오븀 화합물을 포함하는 니오븀 전구체 조성물은 액체 상태로 기화 장치에 공급될 수 있다. 일부 실시예들에서, 상기 니오븀 전구체 조성물은 반응기에 도입되기 전에 기화될 수 있다. 다른 일부 실시예들에서, 순수한 니오븀 화합물 또는 블렌드 형태의 니오븀 화합물을 포함하는 니오븀 전구체 조성물을 포함하는 용기 내로 캐리어 가스 (carrier gas)를 통과시키는 방법, 또는 캐리어 가스를 상기 니오븀 전구체 조성물 내로 버블링시키는 방법을 이용하여 상기 니오븀 전구체 조성물을 기화시킬 수 있다. 상기 캐리어 가스는 Ar, He, N2, 또는 이들의 혼합물로 이루어질 수 있으나, 이들에 한정되는 것은 아니다. 캐리어 가스를 이용한 버블링에 의해, 순수한 니오븀 화합물 또는 블렌드 형태의 니오븀 화합물을 포함하는 니오븀 전구체 조성물 내에 존재하는 용해 산소를 제거할 수 있다. 이와 같이 용해 산소가 제거된 후, 캐리어 가스 및 니오븀 전구체 조성물이 증기로서 반응기에 도입될 수 있다.
본 발명의 기술적 사상에 의한 니오븀 전구체 조성물을 수용하는 용기는 니오븀 전구체 조성물이 액체 상태로 존재할 수 있고 충분한 증기압을 가지도록 할 수 있는 온도로 가열될 수 있다. 상기 용기는 예를 들면 약 0 ∼ 150 ℃ 범위의 온도로 유지될 수 있다. 기화되는 니오븀 전구체의 양을 제어할 수 있도록 상기 용기의 온도가 제어될 수 있다.
상기 반응기로서, 예를 들면 평행판형 반응기, 냉벽 (cold-wall) 형 반응기, 고온벽형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 화합물이 반응하고 박막을 형성하기에 적합한 조건을 구비한 형태의 증착 시스템을 사용할 수 있다. 이들 증착 시스템은 ALD 또는 CVD 증착 공정에 사용될 수 있다.
상기 반응기는 증착 공정이 수행되는 동안 하나 이상의 기판을 동시 진행할 수 있다. 상기 기판은 반도체, 광전지, 평판, 또는 LCD-TFT 소자 제조에 사용되는 임의의 적합한 기판일 수 있다. 예를 들면, 상기 기판은 실리콘, 실리카, 유리, 또는 GaAs 웨이퍼로 이루어질 수 있다. 상기 웨이퍼는 니오븀 함유막, 예를 들면 질화니오븀 박막을 형성하기 이전의 제조 단계로부터 증착된 상이한 물질로 이루어지는 층을 하나 이상 포함할 수 있다. 예를 들면, 웨이퍼는 실리콘 층 (결정질, 비정질, 다공성 등), 산화실리콘층, 질화실리콘층, 실리콘 옥시니트라이드 (silicon oxynitride) 층, 탄소 도핑된 산화실리콘 (SiCOH) 층, 또는 이들의 조합을 포함할 수 있다. 상기 웨이퍼는 금속층 (예를 들면, 구리, 텅스텐, 질화타이타늄 등) 또는 귀금속층 (예를 들면, 백금, 팔라듐, 로듐 또는 금)을 포함할 수 있다. 상기 웨이퍼는 망간, 산화망간 등을 포함할 수 있다. 또한, 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌술포네이트) [PEDOT:PSS] 등과 같은 고분자층을 포함할 수 있다. 상기 예시한 층들은 웨이퍼 상에 평탄화되어 있거나 패턴화되어 있을 수 있다.
본 발명의 기술적 사상에 의한 일부 실시예들에 따른 박막 형성 방법에서는, 질화니오븀 박막을 웨이퍼 위에서 직접 또는 웨이퍼 상부의 층들 중 하나 또는 복수의 층 위에서 직접 증착시킬 수 있다. 본 명세서에서 사용된 용어 "막" 또는 "층"은 어떤 표면 상에 놓이거나 상기 표면에 증착된 두께를 가지는 물질을 지칭하며, 상기 표면은 트렌치 (trench) 또는 라인 (line) 등과 같은 특정 패턴의 상부일 수 있다. 본 명세서 및 특허청구범위 전반에 걸쳐서, 웨이퍼 그 위에 형성된 층들은 기판으로서 지칭된다. 예를 들면, 제1 질화니오븀 막이 Si 층 위에 증착될 수 있다. 후속 처리에서, 산화지르코늄 층이 상기 제1 질화니오븀 막 위에 증착될 수 있으며, 제2 질화니오븀 막이 상기 산화지르코늄 층 위에 증착되어, DRAM 커패시터에 사용되는 NbN/ZrO2/NbN 적층 구조를 형성할 수 있다.
상기 반응기 내 온도 및 압력은 기상 증착에 적합한 조건에서 유지할 수 있다. 즉, 기화된 니오븀 전구체를 챔버 내에 주입한 후, 챔버 내 조건은 적어도 일부의 니오븀 전구체가 기판에 증착되어 질화니오븀 막을 형성하게 하는 조건이다. 상기 반응기 내 압력은 증착 파라미터들에서 필요로 하는 바에 따라 약 1 Pa 내지 약 105 Pa, 예를 들면 약 25 Pa 내지 약 103 Pa 로 유지될 수 있다. 상기 반응기 내 온도는 약 100 ℃ 내지 약 500 ℃, 예를 들면 약 150 ℃ 내지 약 400 ℃로 유지될 수 있다. 본 명세서에서, 전구체의 일부 또는 전부가 기판과 반응하거나 기판에 흡착되는 것을 설명하기 위하여 "전구체의 적어도 일부가 증착된다"는 표현을 사용할 수 있다.
상기 반응기의 온도는 챔버 내의 스테이지 히터(stage heater) 및 램프(lamp) 등의 가열 장치의 온도를 제어하거나, 반응기 벽의 온도를 제어함으로써 조절할 수 있다. 반응기 벽은 충분한 증착 속도, 원하는 물리적 상태 및 조성을 가지는 원하는 막질의 필름을 증착하기에 충분한 온도로 가열할 수 있다. 상기 반응기 벽이 가열될 수 있는 온도 범위는 약 100 ℃ 내지 500 ℃를 포함하지만, 상기 예시한 바에 한정되는 것은 아니다. 플라즈마 증착 공정이 이용되는 경우, 증착 온도는 약 150 ℃ 내지 400 ℃의 범위 내에서 선택될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서, 니오븀 전구체 조성물과 함께 반응물(reactant)이 반응기에 도입될 수 있다. 상기 반응물은 N2, NH3, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, 피라졸린, 이들의 라디칼, 및 이들의 혼합물로 이루어질 수 있다.
상기 반응물은 라디칼 형태로 분해되도록 플라즈마에 의해 처리될 수 있다. 플라즈마로 처리시 질화를 위한 기체로서 N2 가 이용될 수 있다. 예를 들면, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 400 W 범위의 전력으로 생성될 수 있다. 플라즈마는 반응기 자체 내에서 생성되거나 존재할 수 있다. 플라즈마는 챔버의 외부에 위치한 플라즈마 생성 시스템에 의하여 형성될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서, 니오븀 전구체 조성물과 함께 반응기에 도입되는 반응물로서 플라즈마 처리된 반응물을 형성하기 위하여, 반응 챔버 내에서 플라즈마를 생성시키는 다이렉트 플라즈마 (direct plasma) 방식이 적용될 수 있다. 상기 반응물은 플라즈마 처리 전에 반응 챔버에 주입되거나, 플라즈마 처리와 반응물의 주입이 동시에 이루어질 수 있다. 인-시츄 (In-situ) 플라즈마는 샤워 헤드와 기판 홀더 사이에서 생성되는 13.56 MHz RF 유도 결합 플라즈마일 수 있다. 기판 또는 샤워헤드는 양이온 효과가 발생하는지 여부에 따라 전력 공급된 전극일 수 있다. 인-시츄 플라즈마 발생기에서 적용되는 전력은 약 30 W 내지 1000 W 일 수 있다. 일부 실시예들에서, 약 30 W 내지 600 W 의 전력을 사용할 수 있다. 다른 일부 실시예들에서, 전력은 약 100 W 내지 500 W 의 범위일 수 있다.
일부 실시예들에서, 플라즈마 처리된 반응물은 반응 챔버 외부에서 형성될 수 있다. 이를 위하여 예를 들면 약 1 kW 내지 10 kW, 또는 약 2.5 kW 내지 7.5 kW 범위의 전력으로 원격 플라즈마를 생성할 수 있다.
기판 상에 형성하고자 하는 막의 요구되는 특성에 따라, 추가 전구체가 반응기에 주입될 수 있다. 추가 전구체는 질화니오븀 막에 추가적인 원소를 제공하는데 사용될 수 있다. 상기 추가적인 원소는 란탄족 (이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란타늄, 이트륨), 지르코늄, 게르마늄, 실리콘, 마그네슘, 티타늄, 망간, 루테늄, 비스무트, 납, 마그네슘, 알루미늄, 또는 이의 혼합물을 포함할 수 있다. 추가 전구체가 사용되는 경우, 기판 상에 증착되는 막은 추가적인 원소와 함께 니오븀 금속을 함유할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서, 질화니오븀 막 형성을 위한 니오븀 전구체 조성물 및 반응물이 동시에 (화학 기상 증착), 순차적으로 (원자층 증착) 또는 이들이 조합된 다른 방법에 의해 반응기에 도입될 수 있다. 일부 실시예들에서, 상기 반응기 내에서 니오븀 전구체 조성물의 주입과 반응물의 주입과의 사이에 불활성 기체로 퍼징하는 공정을 포함할 수 있다. 다른 예에서, 반응물이 니오븀 전구체 조성물과 함께 반응기에 주입되어 반응기 내에서 혼합될 수 있다. 또 다른 예에서, 반응물을 연속적으로 주입하고, 니오븀 전구체 조성물을 펄스 (펄스 화학 기상 증착)에 의해 주입할 수 있다.
일부 실시예들에서, 기화된 니오븀 전구체 조성물 및 반응물은 순차적으로 또는 동시에 반응기에 펄스 주입될 수 있다 (예를 들면, 펄스 CVD). 상기 니오븀 전구체 조성물의 각 펄스는 약 0.01 초 내지 약 10 초, 예를 들면 약 0.3 초 내지 약 3 초, 또는 약 0.5 초 내지 약 2 초 범위의 시간 동안 지속될 수 있다. 다른 일부 실시예들에서, 반응물이 반응기에 펄스 도입될 수 있다. 이 경우, 각 펄스는 약 0.01 초 내지 약 10 초, 예를 들면 약 0.3 초 내지 약 3 초, 또는 약 0.5 초 내지 약 2 초 범위의 시간 동안 지속될 수 있다. 또 다른 일부 실시예들에서, 상기 니오븀 전구체 조성물 및 반응물은 복수의 웨이퍼를 고정하고 있는 서셉터가 회전하는 조건 하에 샤워 헤드로부터 동시에 분무될 수 있다 (공간 분할 ALD).
본 발명의 기술적 사상에 의한 박막 형성 방법에서, 증착 시간은 특정 공정 변수에 따라 가변적일 수 있다. 상기 증착 시간은 필요한 특성을 갖는 막을 형성하는 데 필요한 만큼 지속될 수 있다. 본 발명의 기술적 사상에 의한 박막 형성 방법에서 형성되는 박막의 두께는 특정 증착 공정에 따라 수 Å 내지 수 백 μm로 가변적일 수 있다. 상기 증착 공정은 원하는 막을 얻는 데 필요한 만큼 여러 번 수행될 수 있다.
본 발명의 기술적 사상에 의한 일부 실시예들에 따른 박막 형성 방법에서, 다음과 같은 CVD 공정을 수행할 수 있다. 먼저 질화니오븀 막을 형성하기 위한 니오븀 전구체 조성물 및 반응물이 반응기에 동시에 주입될 수 있다. 상기 반응기 내에서 상기 니오븀 전구체 조성물 및 반응물이 반응하여 질화니오븀 막이 얻어질 수 있다. 상기 CVD 공정에서 플라즈마를 이용하는 경우 PECVD 공정이 될 수 있다. 상기 반응물은 챔버 내로 도입되기 전 또는 후에 플라즈마로 처리될 수 있다.
본 발명의 기술적 사상에 의한 일부 실시예들에 따른 박막 형성 방법에서, 다음과 같은 제1 ALD 공정을 수행할 수 있다. 먼저, 니오븀 전구체 조성물이 반응기에 주입되어 기판 상에 화학 흡착될 수 있다 (제1 공정). 과량의 니오븀 전구체는 반응기 퍼징 또는 펌핑에 의해 반응기로부터 제거될 수 있다. 반응물 (예를 들면 NH3)이 반응기에 주입되어 셀프리미팅 (self limiting) 방식으로 기판에 화학 흡착되어 있는 니오븀 전구체와 반응할 수 있다 (제2 공정). 과량의 반응물은 반응기 퍼징 또는 펌핑에 의해 반응기로부터 제거될 수 있다. 형성하고자 하는 막이 질화니오븀 막인 경우, 원하는 막 두께가 얻어지거나 필요한 두께의 막이 증착 될 때까지 상기와 같은 제1 및 제2 공정을 포함하는 2 단계 공정을 반복할 수 있다.
형성하고자 하는 막이 니오븀 및 제2 원소를 함유하는 경우, 상기 2 단계 공정 이후, 상기 제2 원소를 포함하는 추가 전구체를 반응기에 주입할 수 있다 (제3 공정). 상기 추가 전구체는 증착되는 질화니오븀 막의 특성을 기반으로 선택될 수 있다. 상기 추가 전구체를 반응기에 주입한 후, 상기 추가 전구체는 기판과 접촉될 수 있다. 과량 추가 전구체는 반응기 퍼징 또는 펌핑에 의해 반응기로부터 제거될 수 있다. 그 후, 반응물이 다시 반응기에 주입되어 상기 추가 전구체와 반응할 수 있다 (제4 공정). 과량의 반응물은 반응기 퍼징 또는 펌핑에 의해 반응기로부터 제거될 수 있다. 원하는 막 두께가 증착된 경우, 상기 공정은 완료될 수 있다. 그러나, 더 두꺼운 필름이 필요한 경우, 위에서 설명한 제1 내지 제4 공정의 4 단계 공정이 반복될 수 있다. 질화니오븀 막 형성을 위한 니오븀 전구체 조성물, 상기 추가 전구체, 및 상기 반응물의 공급을 변화시킴으로써, 원하는 조성 및 두께의 막이 증착될 수 있다.
상기 설명한 ALD 공정에서, 반응물이 플라즈마로 처리되는 경우, PEALD 공정이 될 수 있다. 상기 반응물은 챔버 내로 주입되기 전 또는 후에 플라즈마로 처리될 수 있다.
본 발명의 기술적 사상에 의한 다른 일부 실시예들에 따른 박막 형성 방법에서, 다음과 같은 제2 ALD 공정을 수행할 수 있다. 먼저, 본 발명의 기술적 사상에 의한 니오븀 전구체 조성물 중 하나, 예를 들면 니오븀 비스(에틸시클로펜타디에닐) 디이소프로필아미디네이트 (Nb(EtCp)2(NiPr Me-amd))가 기상으로 반응기에 도입되고, 여기서 Si 기판과 접촉될 수 있다. 그 후, 과량의 조성물은 반응기 퍼징 또는 펌핑에 의해 반응기로부터 제거될 수 있다. 그 후, 반응물 (예를 들면, NH3)이 반응기에 주입되고, 여기서 셀프리미팅 방식으로 기판 표면에 있는 니오븀 전구체와 반응하여 질화니오븀 막을 형성할 수 있다. 과량의 반응물 (예를 들면, NH3 기체)은 반응기 퍼징 또는 펌핑에 의해 반응기로부터 제거될 수 있다. 상기 설명한 2 단계 공정은 원하는 두께, 예를 들면 10 Å의 두께의 제1 질화니오븀 막 (제1 NbN 막)이 얻어질 때까지 반복될 수 있다.
그 후, 상기 제1 NbN 막 위에 ZrO2 막을 형성할 수 있다. 이 때, Zr 전구체로서 ZrCp(NMe2)3 을 사용할 수 있다.
그 후, 상기 ZrO2 층 위에서 Nb(EtCp)2(NiPr Me-amd) 및 NH3 을 사용하여 상술한 제2 ALD 공정을 반복 수행하여 제2 NbN 막을 형성할 수 있다. 그 결과 얻어진 NbN/ZrO2/NbN 적층 구조는 DRAM 커패시터에서 사용될 수 있다.
원하는 두께의 니오븀 함유막 (예를 들면 NbN 막)이 얻어졌으면, 상기 니오븀 함유막에 대하여 추가적인 후처리, 예를 들면 고온 어닐링, 퍼니스 (furnace) 어닐링, 급속 고온 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출을 실행할 수 있다. 예를 들면, NbN 막을 후처리하기 위하여, 상기 NbN 막을 약 200 ℃ 내지 약 1000 ℃ 범위의 온도에서 약 0.1 초 내지 약 7200 초 범위의 시간 동안 불활성 분위기, N 함유 분위기, 또는 이들의 조합 하에 노출시킬 수 있다. 일부 실시예들에서, 상기 NbN 막은 불활성 분위기 또는 N 함유 분위기 하에서 약 400 ℃의 온도로 약 3600 초 동안 후처리될 수 있다.
본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법에 따라 형성된 니오븀 함유막은 비교적 적은 불순물을 함유할 수 있으며, 이에 따라 향상된 밀도를 가지게 되어 누설 전류 개선 효과를 얻을 수 있다.
일부 실시예들에서, 상기 어닐링 단계는 증착 공정이 수행된 반응 챔버와 동일한 반응 챔버 내에서 수행될 수 있다.
다른 일부 실시예들에서, 증착 공정이 수행된 후 기판을 반응 챔버로부터 꺼내고 별개의 장치에서 어닐링/플래시 어닐링 (flash annealing) 공정을 수행할 수 있다. 예를 들면, 상기 NbN 막의 후처리 공정으로서 고온 어닐링을 수행함으로써 상기 NbN 막의 탄소 및 질소 오염을 감소시킬 수 있다. 이는 상기 NbN 막의 저항을 향상시키는 데 기여할 수 있다.
상기 NbN 막을 어닐링에 의한 후처리 후, 상기 NbN 막은 상온에서 약 50 μΩ·cm 내지 약 1,000 μΩ·cm의 벌크 저항 (bulk resistivity)을 가질 수 있다. 상온은 계절에 따라 약 20 ∼ 28 ℃ 일 수 있다. 벌크 저항은 또한 부피 저항 (volume resistivity)으로도 알려져 있다. NbN 막의 벌크 저항은 약 50 nm 두께인 NbN 막에 대하여 상온에서 측정될 수 있다.
다음에, 본 발명의 기술적 사상에 의한 실시예들에 따른 니오븀 화합물의 구체적인 합성예들 및 박막 형성 방법들을 설명한다. 그러나, 본 발명의 기술적 사상이 다음의 예들에 한정되는 것은 아니다.
예 1
비스-싸이클로펜타다이에닐 다이아이소프로필아세트아미니디네이토 니오븀 (NbCp2(NiPr Me-amd))의 합성
-78 ℃ 로 냉각된 약 20 mL THF (tetrahydrofuran)에 다이아이소프로필 카르보다이이미드 (2.0 g, 16 mmol)이 용해된 용액에 메틸리튬 (MeLi) (10 mL, 16 mmol)을 천천히 적하하였다. 상온에서 3 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 20 mL THF에 Nb(Cp)2(Cl)2 (2.32 g, 7.9 mmol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매를 진공하에서 제거하고, 생성물을 톨루엔으로 추출하여 검은 고체 물질을 얻었다. 얻어진 물질을 190 ℃, 20 mTorr까지 승화하여 정제함으로써 1.08 g (37 %) 의 순수한 검은 고체 물질을 얻었다.
도 1은 예 1에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.90 (s, 8H), 2.97 (m, 2H), 1.17 (s, 3H), 0.75 (d, 12H).
예 1에서 얻어진 고체 물질을 질소가 200 mL/min로 흐르는 분위기 하에서 10 ℃/min의 승온 조건으로 오픈컵 열중량 분석 (Open-Cup thermogravimetric analysis (TGA))한 결과, 그 고체 물질의 남은 질량은 1.7 % 이었다. (Close-Cup에서는 15 %) 이들 결과를 도 2에 나타내었다. 도 2의 TGA 그래프에서, 온도 (Temperature) 증가에 따른 중량 손실 (Weight loss) 백분율을 보여준다.
예 2
비스-싸이클로펜타다이에닐 다이아이소프로필발레라아미니디네이토 니오븀 (NbCp2(NiPr nBu-amd))의 합성
-78 ℃에서 약 200 mL THF에 다이아이소프로필 카르보다이이미드 (50.5g, 0.4 mol)가 용해된 부틸리튬 (nBuLi) (250 mL, 0.4 mol)을 천천히 적하하였다. 상온에서 12 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 200 mL THF에 Nb(Cp)2(Cl)2 (58.8g, 0.2 mol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매를 진공하에서 제거하고, 생성물을 톨루엔으로 추출하여 검은 고체 물질을 얻었다. 얻어진 물질을 150 ℃, 10 mTorr까지 승화하여 정제함으로써 37.85 g (46 %)의 순수 검은 고체 물질을 얻었다.
도 3은 예 2에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.92 (s, 8H), 3.16 (m, 2H), 1.73 (m, 2H), 1.13 (m, 4H), 0.81 (d, 12H), 0.78 (t, 3H).
예 2에서 얻어진 고체 물질을 질소가 200 mL/min 로 흐르는 분위기 하에서 10 ℃/min의 승온 조건으로 오픈컵 열중량 분석한 결과, 그 고체 물질의 남은 질량은 1.7 % 이었다. (Close-Cup에서는 22 %) 이들 결과를 도 4에 나타내었다. 도 4의 TGA 그래프에서, 온도 증가에 따른 중량 손실 백분율을 보여준다.
예 3
NbN 박막 형성 (1)
예 2에서 합성한 NbCp2(NiPr nBu-amd)을 사용하여 NbN 박막을 형성하였다. 이를 위하여, 155 ℃까지 가열되는 용기 안에 담긴 NbCp2(NiPr nBu-amd) 전구체와, 반응물(co-reactant)인 암모니아를 사용하여, NbCp2(NiPr nBu-amd) 전구체 자체의 열분해 특성과, 반응물인 암모니아를 이용한 ALD 증착 특성을 평가하였다.
정해진 시간 동안 NbCp2(NiPr nBu-amd) 증기를 반응물 없이 실리콘 웨이퍼에 흐르게 하여 반응기 압력이 0.5 Torr 로 고정된 조건에서 열분해 실험이 실행되었다.
도 5는 300 ∼ 450 ℃의 온도 범위 내에서 반응물 없이 NbCp2(NiPr nBu-amd) 을 이용하여 증착한 박막의 증착 속도를 보여준다. 도 5로부터, 박막의 두께가 약 400 ℃까지는 증가하지 않아 박막이 증착되지 않는 것으로 보이며, 약 400 ℃까지는 NbCp2(NiPr nBu-amd)의 열적 안정성이 우수한 것을 보여준다. 약 400 ℃ 이상의 온도하에서 박막의 두께가 증가되는 것은 약 400 ℃ 이상의 온도하에서 전구체의 열적 자기분해가 발생되어 실리콘 웨이퍼에 소량의 물질이 증착된 것으로 보인다.
약 2 Torr로 고정된 반응기를 사용하고 암모니아를 반응물로 이용하여 전형적인 ALD 조건들에 따라 박막 증착 공정을 수행하였다. 350 ℃에서 순수 실리콘 웨이퍼 위에서 완전한 표면 포화 (complete surface saturation)를 가지는 ALD의 거동이 확인되었다.
도 6은 NbCp2(NiPr nBu-amd) 전구체를 사용하여 예 3에 따라 NbN 박막을 형성할 때 350 ℃에서 전구체의 공급 시간에 따른 NbN 박막의 성장 속도를 나타내는 그래프이다. 도 6에서, 전구체 주입 시간이 증가함에 따라 NbN 박막 증착 속도는 약 0.26 Å/사이클(cycle)로 안정적으로 일정한 것을 보여준다.
도 7은 NbCp2(NiPr nBu-amd) 전구체를 사용하여 예 3에 따라 NbN 박막을 형성할 때 챔버 온도에 따른 NbN 박막의 성장 속도를 나타내는 그래프이다. 도 7의 평가를 위하여 NbN 박막의 성장 속도를 300 ℃와 450 ℃ 사이에서 측정하였다. 도 7에서, NbN 박막의 성장 속도는 0.2 ~ 0.6 Å/사이클인 것을 보여준다.
도 8 및 도 9는 각각 예 3에 따라 350 ℃와 375 ℃에서 증착된 박막의 XPS (X-ray Photoelectron Spectroscopy) 분석 결과를 보여주는 그래프이다.
예 4
비스-메틸싸이클로펜타다이에닐다이아이소프로필아세트아미니디네이토 니오븀 (Nb(MeCp)2(NiPr Me-amd))의 합성
-78 ℃에서 약 20 mL THF에 다이아이소프로필 카르보다이이미드 (1.5g, 11.9 mmol)이 용해된 용액에 메틸리튬 (7.4mL, 11.9 mmol)을 천천히 적하하였다. 상온에서 3 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 20 mL THF에 Nb(MeCp)2(Cl)2 (2.32 g, 5.9 mmol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매를 진공하에서 제거하고, 생성물은 톨루엔으로 추출하여 검은 액체를 얻었다. 얻어진 액체를 220 ℃, 20 mT (증류 장치 온도 84 ℃)로 증류에 의해 정제하여 0.80 g (34 %) 의 순수 검은 왁스 같은 고체 물질을 얻었다.
도 10은 예 4에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.73-4.78 (m, 8H), 3.06 (m, 2H), 1.66 (s, 6H), 1.30 (s, 3H), 0.79 (d, 12H).
예 4에서 얻어진 고체 물질을 질소가 200 mL/min 로 흐르는 분위기 하에서 10 ℃/min의 승온 조건으로 오픈컵 열중량 분석한 결과, 그 고체 물질의 남은 질량은 1.7 % 이었다. (Close-Cup에서는 17 %) 이들 결과를 도 11에 나타내었다. 도 11의 TGA 그래프에서, 온도 증가에 따른 중량 손실 백분율을 보여준다.
예 5
비스-메틸싸이클로펜타다이에닐 다이아이소프로필발레라아미니디네이토 니오븀 (Nb(MeCp)2(NiPr nBu-amd))의 합성
-78 ℃에서 약 20 mL THF에 다이아이소프로필 카르보다이이미드 (1.5g, 11.9 mmol)가 용해된 용액에 부틸리튬 (7.4mL, 11.9 mmol)을 천천히 적하하였다. 상온에서 3 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 20 mL THF에 Nb(MeCp)2(Cl)2 (2.32g, 5.9 mmol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매는 진공하에서 제거하고, 생성물을 톨루엔으로 추출하여 검은 액체를 얻었다. 얻어진 물질을 210 ℃, 30 mT (증류 장치 온도 60 ℃) 진공 증류에 의해 정제하여 검은 액체를 얻었다.
도 12는 예 5에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.69-4.89 (m, 8H), 3.24 (m, 2H), 1.81 (m, 2H), 1.67 (s, 6H), 1.17 (m, 4H), 0.83 (d, 12H), 0.80 (t, 3H).
예 5에서 얻어진 물질을 질소가 200 mL/min로 흐르는 분위기 하에서 10 ℃/min의 승온 조건으로 오픈컵 열중량 분석한 결과, 상기 물질의 남은 질량은 2.7 % 이었다. (Close-Cup에서는 24 %) 이들 결과를 도 13에 나타내었다. 도 13의 TGA 그래프에서, 온도 증가에 따른 중량 손실 백분율을 보여준다.
예 6
비스-메틸싸이클로펜타다이에닐 t-부틸, 에틸아세트아미니디네이토 니오븀 (Nb(MeCp)2(NtBu, Et Me-amd))의 합성
-78 ℃에서 약 20 mL THF에 n-부틸에틸 카르보다이이미드 (1.5 g, 11.9 mmol)가 용해된 용액에 메틸리튬 (7.4 mL, 11.9 mmol)을 천천히 적하하였다. 상온에서 3 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 20 mL THF에 Nb(MeCp)2(Cl)2 (2.32g, 5.9 mmol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매는 진공하에서 제거하고, 생성물을 톨루엔으로 추출하여 검은 왁스 같은 고체 물질을 얻었다. 얻어진 물질을 200 ℃, 20 mTorr (증류 장치 온도 106 ℃) 진공 증류에 의해 정제하여 검은 왁스 같은 고체를 얻었다.
도 14는 예 6에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.18-5.03 (m, 8H), 2.61 (q, 2H), 1.70 (s, 6H), 1.32 (s, 3H), 0.94 (s, 9H), 0.82 (t, 3H).
예 6에서 얻어진 고체 물질을 질소가 200 mL/min로 흐르는 분위기 하에서 10 ℃/min의 승온 조건으로 오픈컵 열중량 분석한 결과, 그 고체 물질의 남은 질량은 2.2 % 이었다. (Close-Cup에서는 26 %) 이들 결과를 도 15에 나타내었다. 도 15의 TGA 그래프에서, 온도 증가에 따른 중량 손실 백분율을 보여준다.
예 7
비스-에틸싸이클로펜타다이에닐 다이아이소프로필아세트아미니디네이토 니오븀 (Nb(EtCp)2(NiPr Me-amd))의 합성
-78 ℃에서 약 200 mL THF에 다이아이소프로필 카르보다이이미드 (36.0 g, 0.28 mol)이 용해된 용액에 메틸리튬 (178 mL, 0.28 mol)을 천천히 적하하였다. 상온에서 5 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 20 mL THF에 Nb(EtCp)2(Cl)2 (50g, 0.14 mol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매를 진공하에서 제거하고, 생성물은 톨루엔으로 추출하여 검은 액체를 얻었다. 얻어진 물질을 220 ℃, 20 mT (증류 장치 온도 150 ℃)로 증류에 의해 정제하여 21.35g (36 %) 의 순수 검은 액체를 얻었다.
도 16은 예 7에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.75-4.81 (m, 8H), 3.07 (m, 2H), 1.86 (q, 4H), 1.30 (s, 3H), 1.16 (t, 6H), 0.80 (d, 12H).
예 7에서 얻어진 물질을 질소가 200 mL/min 로 흐르는 분위기 하에서 10 ℃/min의 승온 조건으로 오픈컵 열중량 분석한 결과, 상기 물질의 남은 질량은 2.4 % 이었다. (Close-Cup에서는 26 %) 이들 결과를 도 17에 나타내었다. 도 17의 TGA 그래프에서, 온도 증가에 따른 중량 손실 백분율을 보여준다.
예 8
NbN 박막 형성 (2)
예 7에서 합성한 (Nb(EtCp)2(NiPr Me-amd))을 사용하여 NbN 박막을 형성하였다. 이를 위하여, 150 ℃까지 가열되는 용기 안에 담긴 (Nb(EtCp)2(NiPr Me-amd)) 전구체와, 반응물인 암모니아를 사용하여, 이용한 ALD 증착 특성을 평가하였다.
암모니아를 반응물로 이용하여 반응기 압력이 약 2 Torr로 고정된 조건에서 전형적인 ALD 공정을 수행하였다.
도 18은 300 ∼ 450 ℃의 온도 범위 내에서 Nb(EtCp)2(NiPr Me-amd) 을 이용한 NbN 박막의 증착 속도를 보여주는 그래프이다.
도 19 및 도 20은 각각 예 8에 따라 350 ℃와 400 ℃에서 증착된 박막의 XPS 분석 결과를 보여주는 그래프이다. 350 ℃에서 증착된 NbN 박막의 저항은 약 700 μΩ·cm 로 측정되었다.
예 9
비스-아이소프로필싸이클로펜타다이에닐 다이아이소프로필아세트아미니디네이토 니오븀 (Nb(iPrCp)2(NiPr Me-amd))의 합성
-78 ℃에서 약 20 mL THF에 다이아이소프로필 카르보다이이미드 (1.5 g, 11.9 mmol)이 용해된 용액에 메틸리튬 (7.4 mL, 11.9 mmol)을 천천히 적하하였다. 상온에서 3 시간 교반 후에, 그 혼합물을 -78 ℃에서 약 20 mL THF에 Nb(iPrCp)2(Cl)2 (2.64 g, 5.9 mmol)이 용해된 용액에 가하였다. 그 혼합물을 밤새 상온에서 교반하였다. 그 후, 용매를 진공하에서 제거하고, 생성물은 톨루엔으로 추출하여 검은 액체를 얻었다. 얻어진 물질을 190 ℃, 75 mTorr (증류 장치 온도 86 ℃) 진공 증류에 의해 정제하여 0.85 g (32 %)의 순수 검은 액체를 얻었다.
도 21은 예 9에서 얻어진 물질의 1H-NMR 스펙트럼이다.
1H-NMR (δ, ppm, C6D6): 4.79-4.92 (m, 8H), 3.08 (m, 2H), 2.12 (m, 2H), 1.29 (s, 3H), 1.11 (d, 12H), 0.83 (d, 12H).
예 9에서 얻어진 고체 물질을 질소가 200 mL/min로 흐르는 분위기 하에서 10 ℃/min 의 승온 조건으로 오픈컵 열중량 분석한 결과, 상기 물질의 남은 질량은 2.2 % 이었다. (Close-Cup에서는 27 %) 이들 결과를 도 22에 나타내었다. 도 22의 TGA 그래프에서, 온도 증가에 따른 중량 손실 백분율을 보여준다.
도 23a 내지 도 23j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(300) (도 23j 참조)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 23a를 참조하면, 복수의 활성 영역(AC)을 포함하는 기판(310) 상에 층간절연막(320)을 형성한 후, 상기 층간절연막(320)을 관통하여 상기 복수의 활성 영역(AC)에 연결되는 복수의 도전 영역(324)을 형성한다.
상기 기판(310)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에서, 상기 기판(310)은 III-V 족 물질 및 IV 족 물질 중 적어도 하나로 이루어질 수 있다. 상기 III-V 족 물질은 적어도 하나의 III 족 원자와 적어도 하나의 V족 원자를 포함하는 2 원계, 3 원계, 또는 4 원계 화합물일 수 있다. 상기 III-V 족 물질은 III 족 원자로서 In, Ga 및 Al 중 적어도 하나의 원자와, V 족 원자로서 As, P 및 Sb 중 적어도 하나의 원자를 포함하는 화합물일 수 있다. 예를 들면, 상기 III-V 족 물질은 InP, InzGa1 - zAs (0 ≤ z ≤ 1), 및 AlzGa1 - zAs (0 ≤ z ≤ 1)로부터 선택될 수 있다. 상기 2 원계 화합물은, 예를 들면 InP, GaAs, InAs, InSb 및 GaSb 중 어느 하나일 수 있다. 상기 3 원계 화합물은 InGaP, InGaAs, AlInAs, InGaSb, GaAsSb 및 GaAsP 중 어느 하나일 수 있다. 상기 IV 족 물질은 Si 또는 Ge일 수 있다. 그러나, 본 발명의 기술적 사상에 의한 집적회로 소자에서 사용 가능한 III-V 족 물질 및 IV 족 물질이 상기 예시한 바에 한정되는 것은 아니다. 다른 예에서, 상기 기판(310)은 SOI (silicon on insulator) 구조를 가질 수 있다. 상기 기판(310)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
상기 복수의 활성 영역(AC)은 기판(310)에 형성된 복수의 소자분리 영역(312)에 의해 정의될 수 있다. 상기 소자분리 영역(312)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 이들의 조합으로 이루어질 수 있다.
상기 층간절연막(320)은 실리콘 산화막을 포함할 수 있다.
상기 복수의 도전 영역(324)은 기판(310) 상에 형성된 전계효과 트랜지스터와 같은 스위칭 소자(도시 생략)의 일 단자에 연결될 수 있다. 상기 복수의 도전 영역(324)은 폴리실리콘, 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시한 바에 한정되는 것은 아니다.
도 23b를 참조하면, 층간절연막(320) 및 복수의 도전 영역(324)을 덮는 절연층(328)을 형성한다. 상기 절연층(328)은 식각 정지층으로 사용될 수 있다.
상기 절연층(328)은 층간절연막(320) 및 후속 공정에서 형성되는 몰드막(330) (도 23c 참조)에 대하여 식각 선택비를 가지는 절연 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 절연층(328)은 실리콘 질화물, 실리콘 산화질화물, 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 절연층(328)은 약 100 ∼ 600 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
도 23c를 참조하면, 절연층(328) 위에 몰드막(330)을 형성한다.
일부 실시예들에서, 상기 몰드막(330)은 산화막으로 이루어질 수 있다. 예를 들면, 상기 몰드막(330)은 BPSG (boro phospho silicate glass), PSG (phospho silicate glass), USG (undoped silicate glass), SOD (spin on dielectric), HDP CVD (high density plasma chemical vapor deposition) 공정에 의해 형성된 산화막 등과 같은 산화막을 포함할 수 있다. 상기 몰드막(130)을 형성하기 위하여, 열 CVD 공정 또는 플라즈마 CVD 공정을 이용할 수 있다. 일부 실시예들에서, 상기 몰드막(330)은 약 1000 ∼ 20000 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
일부 실시예들에서, 상기 몰드막(330)은 지지막(도시 생략)을 포함할 수 있다. 상기 지지막은 몰드막(330)에 대하여 식각 선택비를 가지는 물질로 형성될 수 있으며, 약 50 ∼ 3000 Å의 두께를 가질 수 있다. 상기 지지막은 후속 공정에서 상기 몰드막(330)을 제거할 때 사용되는 식각 분위기, 예를 들면 불화암모늄(NH4F), 불산(HF) 및 물을 포함하는 LAL (Limulus Amoebocyte Lysate) 리프트-오프(lift-off) 공정을 이용하는 경우, LAL에 대하여 식각율이 비교적 낮은 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 지지막은 실리콘 질화물, 실리콘 탄화질화물, 탄탈륨 산화물, 티타늄 산화물, 또는 이들의 조합으로 이루어질 수 있으나, 상기 지지막의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
도 23d를 참조하면, 상기 몰드막(330) 위에 희생막(342) 및 마스크 패턴(344)을 차례로 형성한다.
상기 희생막(342)은 BPSG, PSG, USG, SOD, HDP CVD 공정에 의해 형성된 산화막 등과 같은 산화막을 포함할 수 있다. 상기 희생막(342)은 약 500 ∼ 2000 Å의 두께를 가질 수 있다. 상기 희생막(342)은 상기 몰드막(330)에 포함된 지지막을 보호하는 역할을 할 수 있다.
상기 마스크 패턴(344)은 산화막, 질화막, 폴리실리콘막, 포토레지스트막, 또는 이들의 조합으로 이루어질 수 있다. 상기 마스크 패턴(344)에 의해 커패시터의 하부 전극이 형성될 영역이 정의될 수 있다.
도 23e를 참조하면, 마스크 패턴(344)을 식각 마스크로 이용하고 절연층(328)을 식각 정지층으로 이용하여 희생막(342) 및 몰드막(330)을 건식 식각하여, 복수의 홀(H1)을 한정하는 희생 패턴(342P) 및 몰드 패턴(330P)을 형성한다.
이 때, 과도 식각에 의해 상기 절연층(328)도 식각되어 복수의 도전 영역(324)을 노출시키는 절연 패턴(328P)이 형성될 수 있다.
도 23f를 참조하면, 도 23e의 결과물로부터 마스크 패턴(344)을 제거한 후, 복수의 홀(H1) 각각의 내부 측벽과, 절연 패턴(328P)의 노출 표면과, 복수의 홀(H1) 각각의 내부에서 노출되는 상기 복수의 도전 영역(324)의 표면과, 희생 패턴(342P)의 노출 표면을 덮는 하부 전극 형성용 도전막(350)을 형성한다.
상기 하부 전극 형성용 도전막(350)은 상기 복수의 홀(H1) 각각의 내부 공간이 일부 남도록 복수의 홀(H1)의 측벽에 컨포멀(conformal)하게 형성될 수 있다.
일부 실시예들에서, 상기 하부 전극 형성용 도전막(350)은 NbN 막으로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 하부 전극 형성용 도전막(350)은 NbN 막과 다른 도전막과의 조합으로 이루어질 수 있다. 상기 다른 도전막은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 하부 전극 형성용 도전막(350)은 NbN 막 단독으로 이루어지거나, NbN 막과, TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO (SrRuO3), BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어지는 도전막과의 조합으로 이루어질 수 있다.
상기 하부 전극 형성용 도전막(350)의 형성에 필요한 NbN 막을 형성하기 위하여, 전술한 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라, 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과, N 원자를 함유하는 반응물을 사용하여 CVD 공정 또는 ALD 공정을 수행할 수 있다.
상기 니오븀 화합물로서 화학식 1, 화학식 2, 및 화학식 3의 구조를 가지는 니오븀 화합물을 사용할 수 있다. 예를 들면, 상기 니오븀 화합물로서 Nb(MeCp)2(NiPr Me-amd), Nb(EtCp)2(NiPr Me-amd), 또는 Nb(iPrCp)2(NiPr Me-amd)을 사용하고, 상기 반응물로서 NH3 를 사용할 수 있다.
일부 실시예들에서, 상기 하부 전극 형성용 도전막(350)은 NbN 막을 포함하지 않을 수도 있다. 이 경우, 상기 하부 전극 형성용 도전막(350)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다.
도 23g를 참조하면, 하부 전극 형성용 도전막(350)의 상부를 부분적으로 제거하여 상기 하부 전극 형성용 도전막(350)을 복수의 하부 전극(LE)으로 분리한다.
상기 복수의 하부 전극(LE)을 형성하기 위하여, 몰드 패턴(330P)의 상면이 노출될 까지 에치백 (etchback) 또는 CMP (chemical mechanical polishing) 공정을 이용하여 상기 하부 전극 형성용 도전막(350)의 상부측 일부와 희생 패턴(342P)(도 23f 참조)을 제거할 수 있다.
상기 복수의 하부 전극(LE)은 상기 절연 패턴(328P)을 통해 도전 영역(324)에 연결될 수 있다.
도 23h를 참조하면, 몰드 패턴(330P)을 제거하여, 실린더 형상의 복수의 하부 전극(LE)의 외벽면들을 노출시킨다.
상기 몰드 패턴(330P)은 LAL 또는 불산을 이용하는 리프트-오프 공정에 의해 제거될 수 있다.
도 23i를 참조하면, 복수의 하부 전극(LE) 위에 유전막(360)을 형성한다.
상기 유전막(360)은 상기 복수의 하부 전극(LE)의 노출 표면들을 컨포멀하게 덮도록 형성될 수 있다.
상기 유전막(360)은 ALD 공정에 의해 형성될 수 있다.
상기 유전막(360)은 산화물, 금속 산화물, 질화물, 또는 이들의 조합을 포함할 수 있다. 일부 실시예들에서, 상기 유전막(360)은 실리콘 산화물보다 더 높은 유전 상수를 가지는 고유전막으로 이루어질 수 있다. 예를 들면, 상기 유전막(360)은 ZrO2 막을 포함할 수 있다. 예를 들면, 상기 유전막(360)은 ZrO2 막의 단일층으로 이루어지거나, 적어도 하나의 ZrO2 막과 적어도 하나의 Al2O3 막의 조합을 포함하는 다중층으로 이루어질 수 있다.
일부 실시예들에서, 상기 유전막(360)은 약 50 ∼ 150 Å의 두께를 가질 수 있으나, 예시한 바에 한정되는 것은 아니다.
도 23j를 참조하면, 유전막(360) 상에 상부 전극(UE)을 형성한다.
상기 하부 전극(LE), 유전막(360), 및 상부 전극(UE)에 의해 커패시터(370)가 구성될 수 있다.
상기 상부 전극(UE)은 NbN 막으로 이루어질 수 있다.
상기 상부 전극(UE)의 형성에 필요한 NbN 막을 형성하기 위하여, 전술한 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라, 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과, N 원자를 함유하는 반응물을 사용하여 CVD 공정 또는 ALD 공정을 수행할 수 있다.
상기 니오븀 화합물로서 화학식 1, 화학식 2, 및 화학식 3의 구조를 가지는 니오븀 화합물을 사용할 수 있다. 예를 들면, 상기 니오븀 화합물로서 Nb(MeCp)2(NiPr Me-amd), Nb(EtCp)2(NiPr Me-amd), 또는 Nb(iPrCp)2(NiPr Me-amd)을 사용하고, 상기 반응물로서 NH3 를 사용할 수 있다.
다른 일부 실시예들에서, 상기 상부 전극(UE)은 NbN 막과 다른 도전막과의 조합으로 이루어질 수 있다. 상기 다른 도전막은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 상부 전극(UE)은 TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO (SrRuO3), BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 상기 상부 전극(UE)의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
이상, 도 23a 내지 도 23j를 참조하여 실린더형 하부 전극(LE)을 형성하는 공정을 포함하는 집적회로 소자(300)의 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 예시한 바에 한정되는 것은 아니다. 예를 들면, 상기 실린더형 하부 전극(LE) 대신 내부 공간이 없는 필라(pillar)형 하부 전극을 형성할 수도 있으며, 상기 유전막(360) 및 상부 전극(UE)은 상기 필라형 하부 전극 위에 형성될 수 있다.
도 23a 내지 도 23j를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 따르면, 상부 전극(UE)을 구성하는 NbN 막을 형성하는 데 있어서, ZrO2 막과 같은 고유전막으로 이루어지는 유전막(360) 위에 상기 NbN 막을 형성하는 경우에, Nb 전구체로서 NbCl5와 같은 Cl 함유 Nb 전구체를 사용하는 경우와 달리, 본 발명의 기술적 사상에 의한 니오븀 화합물을 이용함으로써 상기 유전막(360)의 손상 없이 비교적 높은 일함수를 가지는 NbN 막을 안정적으로 형성할 수 있다. 이에 따라, 커패시터(370)에서 누설 전류를 야기하거나 전기적 특성을 열화시키지 않고 원하는 소자를 구현할 수 있다.
도 24a 내지 도 24c는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 도면들로서, 도 24a는 형성하고자 하는 집적회로 소자(400)의 평면도이고, 도 24b는 도 24a의 집적회로 소자(400)의 사시도이고, 도 24c는 도 24a의 X - X' 선 단면 및 Y - Y' 선 단면 구성을 보여주는 단면도이다.
도 24a 내지 도 24c를 참조하면, 집적회로 소자(400)는 기판(402)으로부터 돌출된 핀형 (fin-type) 활성 영역(FA)을 포함한다.
상기 기판(402)에 대한 상세한 사항은 도 23a를 참조하여 기판(310)에 대하여 설명한 바와 대체로 동일하다.
상기 기판(402)은 III-V 족 물질 또는 IV 족 물질로 이루어짐으로써 저전력, 고속 트랜지스터를 만들 수 있는 채널 재료로 이용될 수 있다. 상기 기판(402) 상에 MMOS 트랜지스터를 형성하는 경우, 상기 기판(402)은 III-V 족 물질들 중 어느 하나로 이루어질 수 있다. 예를 들면, 상기 기판(402)은 GaAs로 이루어질 수 있다. 상기 기판(402) 상에 PMOS 트랜지스터를 형성하는 경우, 상기 기판(402)은 Si 기판에 비해 정공의 이동도가 높은 반도체 물질, 예를 들면 Ge로 이루어질 수 있다.
상기 핀형 활성 영역(FA)은 일 방향 (도 24a 및 도 24b에서 Y 방향)을 따라 연장될 수 있다. 상기 기판(402)상에는 상기 핀형 활성 영역(FA)의 하부 측벽을 덮는 소자분리막(410)이 형성되어 있다. 상기 핀형 활성 영역(FA)은 상기 소자분리막(410) 위로 핀 형상으로 돌출되어 있다. 일부 실시예들에서, 상기 소자분리막(410)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
상기 기판(402)상에서 상기 핀형 활성 영역(FA) 위에는 게이트 구조체(420)가 상기 핀형 활성 영역(FA)의 연장 방향에 교차하는 방향 (X 방향)으로 연장될 수 있다. 상기 핀형 활성 영역(FA) 중 게이트 구조체(420)의 양 측에는 한 쌍의 소스/드레인 영역(430)이 형성될 수 있다.
상기 한 쌍의 소스/드레인 영역(430)은 상기 핀형 활성 영역(FA)으로부터 에피택셜 성장된 반도체층을 포함할 수 있다. 상기 한 쌍의 소스/드레인 영역(430)은 각각 에피택셜 성장된 복수의 SiGe층을 포함하는 임베디드 SiGe 구조, 에피택셜 성장된 Si 층, 또는 에피택셜 성장된 SiC 층으로 이루어질 수 있다. 도 24b에서, 상기 한 쌍의 소스/드레인 영역(430)이 특정한 형상을 가지는 경우를 예시하였으나, 본 발명의 기술적 사상에 따르면 상기 한 쌍의 소스/드레인 영역(430)의 단면 형상이 도 24b에 예시된 바에 한정되지 않으며, 다양한 형상을 가질 수 있다. 예를 들면, 상기 한 쌍의 소스/드레인 영역(430)은 원, 타원, 다각형 등 다양한 단면 형상을 가질 수 있다.
상기 핀형 활성 영역(FA)과 상기 게이트 구조체(420)가 교차하는 부분에서 MOS 트랜지스터(TR)가 형성될 수 있다. 상기 MOS 트랜지스터(TR)는 핀형 활성 영역(FA)의 상면 및 양 측면에서 채널이 형성되는 3 차원 구조의 MOS 트랜지스터로 이루어질 수 있다. 상기 MOS 트랜지스터(TR)는 NMOS 트랜지스터 또는 PMOS 트랜지스터를 구성할 수 있다.
도 24c에 예시한 바와 같이, 게이트 구조체(420)는 핀형 활성 영역(FA)의 표면으로부터 차례로 형성된 인터페이스층(412), 고유전막(414), 제1 금속함유층(426A), 제2 금속함유층(426B), 및 갭필 금속층(428)을 포함할 수 있다. 상기 게이트 구조체(420) 중 제1 금속함유층(426A), 제2 금속함유층(426B), 및 갭필 금속층(428)은 게이트 전극(420G)을 구성할 수 있다.
상기 게이트 구조체(420)의 양 측면에는 절연 스페이서(442)가 형성될 수 있다. 상기 절연 스페이서(442)를 중심으로 게이트 구조체(420)의 반대측에서 상기 절연 스페이서(442)를 덮는 층간 절연막(444)이 형성될 수 있다.
상기 인터페이스층(412)은 핀형 활성 영역(FA)의 표면 위에 형성될 수 있다. 상기 인터페이스층(412)은 산화막, 질화막, 또는 산화질화막과 같은 절연 물질로 형성될 수 있다. 상기 인터페이스층(412)은 고유전막(414)과 함께 게이트 절연막을 구성할 수 있다.
상기 고유전막(414)은 실리콘 산화막보다 유전 상수가 더 큰 물질로 이루어질 수 있다. 예를 들면, 상기 고유전막(414)은 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 고유전막(414)은 지르코늄 산화물 (zirconium oxide), 지르코늄 실리콘 산화물 (zirconium silicon oxide), 하프늄 산화물 (hafnium oxide), 하프늄 산질화물 (hafnium oxynitride), 하프늄 실리콘 산화물 (hafnium silicon oxide), 탄탈륨 산화물 (tantalum oxide), 티타늄 산화물 (titanium oxide), 바륨 스트론튬 티타늄 산화물 (barium strontium titanium oxide), 바륨 티타늄 산화물 (barium titanium oxide), 스트론튬 티타늄 산화물 (strontium titanium oxide), 이트륨 산화물 (yttrium oxide), 알루미늄 산화물 (aluminum oxide), 납 스칸듐 탄탈륨 산화물 (lead scandium tantalum oxide), 및 납 아연 니오브산염 (lead zinc niobate), 및 이들의 조합 중에서 선택되는 물질로 이루어질 수 있으나, 상기 고유전막(414)을 구성하는 물질이 상기 예시된 바에 한정되는 것은 아니다. 상기 고유전막(414)은 ALD 공정에 의해 형성될 수 있다.
일부 실시예들에서, 상기 제1 금속함유층(426A)은 Nb의 질화물을 포함할 수 있다. 예를 들면, 상기 제1 금속함유층(426A)은 NbN 막을 포함할 수 있다.
상기 제1 금속함유층(426A)을 구성하는 NbN 막을 형성하기 위하여, 전술한 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라, 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과, N 원자를 함유하는 반응물을 사용하여 CVD 공정 또는 ALD 공정을 수행할 수 있다.
상기 니오븀 화합물로서 화학식 1, 화학식 2, 및 화학식 3의 구조를 가지는 니오븀 화합물을 사용할 수 있다. 예를 들면, 상기 니오븀 화합물로서 Nb(MeCp)2(NiPr Me-amd), Nb(EtCp)2(NiPr Me-amd), 또는 Nb(iPrCp)2(NiPr Me-amd)을 사용하고, 상기 반응물로서 NH3 를 사용할 수 있다.
다른 일부 실시예들에서, 상기 제1 금속함유층(426A)은 NbN 막 외에, Ti의 질화물, Ta의 질화물, Ti의 산화질화물, 또는 Ta의 산화질화물로 이루어지는 추가의 금속 함유층을 더 포함할 수 있다. 예를 들면, 상기 추가의 금속 함유층은 TiN, TaN, TiAlN, TaAlN, TiSiN, 또는 이들의 조합으로 이루어질 수 있다. 상기 추가의 금속 함유층은 ALD, CVD, PVD (physical vapor deposition) 등 다양한 증착 방법을 통해 형성될 수 있다.
일부 실시예들에서, 상기 제2 금속함유층(426B)은 Ti 또는 Ta을 함유한 Al 화합물을 포함하는 NMOS 트랜지스터에 필요한 N 형 금속 함유층으로 이루어질 수 있다. 예를 들면, 상기 제2 금속함유층(426B)은 TiAlC, TiAlN, TiAlCN, TiAl, TaAlC, TaAlN, TaAlCN, TaAl, 또는 이들의 조합으로 이루어질 수 있다.
다른 일부 실시예들에서, 상기 제2 금속함유층(426B)은 PMOS 트랜지스터에 필요한 P 형 금속 함유층으로 이루어질 수 있다. 예를 들면, 상기 제2 금속함유층(426B)은 Mo, Pd, Ru, Pt, TiN, WN, TaN, Ir, TaC, RuN 및 MoN 중 적어도 하나를 포함할 수 있다.
상기 제2 금속함유층(426B)은 단일층 또는 다중층으로 이루어질 수 있다.
상기 제2 금속함유층(426B)은 상기 제1 금속함유층(426A)과 함께 게이트 구조체(420)의 일함수를 조절하는 역할을 할 수 있다. 상기 제1 금속함유층(426A) 및 제2 금속함유층(426B)의 일함수 조절에 의해 게이트 구조체(420)의 문턱 전압이 조절될 수 있다.
상기 갭필 금속층(428)은 RMG (Replacement Metal Gate) 공정에 의해 게이트 구조체(420)를 형성할 때 상기 제2 금속함유층(426B) 상의 남는 게이트 공간을 채우도록 형성될 수 있다. 상기 제2 금속함유층(426B)을 형성한 후 상기 제2 금속함유층(426B) 상부에 남아 있는 게이트 공간이 없는 경우, 상기 제2 금속함유층(426B) 위에 상기 갭필 금속층(428)이 형성되지 않고 생략될 수도 있다,
상기 갭필 금속층(428)은 W, TiN, TaN 등의 금속 질화물, Al, 금속 탄화물, 금속 실리사이드, 금속 알루미늄 탄화물, 금속 알루미늄 질화물, 금속 실리콘 질화물 등을 포함하는 그룹 중에서 선택된 물질을 포함할 수 있다.
도 24a 내지 도 24c를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(400)를 제조하는 데 있어서, 제1 금속함유층(426A)을 구성하는 NbN 막을 형성하기 위하여 본 발명의 기술적 사상에 의한 니오븀 화합물을 니오븀 전구체로 사용하여 고유전막(414) 위에 상기 NbN 막을 형성한다. 따라서, Nb 전구체로서 NbCl5와 같은 Cl 함유 Nb 전구체를 사용하는 경우와 달리, 상기 고유전막(414)의 손상 없이 비교적 높은 일함수를 가지는 NbN 막을 안정적으로 형성할 수 있다. 따라서, 트랜지스터의 누설 전류를 야기하거나 전기적 특성을 열화시키지 않고 원하는 소자를 구현할 수 있다.
도 24a 내지 도 24c를 참조하여, 3 차원 구조의 채널을 구비하는 FinFET을 포함하는 집적회로 소자의 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 설명한 바에 한정되는 것은 아니다. 예를 들면, 상기한 바와 같은 본 발명의 기술적 사상의 범위 내에서 본 발명의 기술적 사상의 다양한 변형 및 변경을 통하여 본 발명의 기술적 사상에 의한 특징들을 가지는 수평형 (planar) MOSFET을 포함하는 집적회로 소자들의 제조 방법들을 제공할 수 있음은 당 업자들이면 잘 알 수 있을 것이다.
도 25는 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 소자의 요부 구성을 보여주는 블록 다이어그램이다.
전자 소자(1100)는 제어기(1110), 입/출력 장치(1120), 메모리(1130), 및 인터페이스(1140)를 포함한다. 상기 전자 소자(1100)는 모바일 시스템, 또는 정보를 전송하거나 전송받는 시스템일 수 있다. 일부 실시예에서, 상기 모바일 시스템은 PDA (personal digital assistant), 휴대용 컴퓨터, 웹 타블렛, 무선 폰, 모바일 폰, 디지털 뮤직 플레이어, 또는 메모리 카드 중 적어도 하나이다.
일부 실시예들에서, 상기 제어기(1110)는 마이크로프로세서, 디지털 신호 프로세서, 또는 마이크로콘트롤러(micro-controller)이다.
상기 입/출력 장치(1120)는 전자 소자(1100)의 데이터 입출력에 이용된다. 상기 전자 소자(1100)는 상기 입/출력 장치(1120)를 이용하여 외부 장치, 예를 들면 개인용 컴퓨터 또는 네트워크에 연결될 수 있고, 상기 외부 장치와 상호 데이터를 교환할 수 있다. 일부 실시예에서, 상기 입/출력 장치(1120)는 키패드 (keypad), 키보드 (keyboard), 또는 표시 장치 (display)이다.
일부 실시예들에서, 상기 메모리(1130)는 제어기(1110)의 동작을 위한 코드 및/또는 데이터를 저장한다. 다른 일부 실시예들에서, 상기 메모리(1130)는 제어기(1110)에서 처리된 데이터를 저장한다. 상기 제어기(1110) 및 메모리(1130) 중 적어도 하나는 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 형성된 니오븀 함유막, 도 23a 내지 도 23j를 참조하여 설명한 집적회로 소자(300), 또는 도 24a 내지 도 24c를 참조하여 설명한 집적회로 소자(400)를 포함한다.
상기 인터페이스(1140)는 상기 전자 소자(1100)와, 다른 외부 장치와의 사이에서 데이터 전송 통로 역할을 한다. 상기 제어기(1110), 입/출력 장치(1120), 메모리(1130), 및 인터페이스(1140)는 버스(1150)를 통하여 서로 통신할 수 있다.
상기 전자 소자(1100)는 모바일 폰, MP3 플레이어, 네비게이션 (navigation) 시스템, 휴대용 멀티미디어 재생기 (portable multimedia player: PMP), 고상 디스크 (solid state disk: SSD), 또는 가전 제품 (household appliances)에 포함될 수 있다.
이상, 본 발명을 바람직한 실시예를 들면 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.
300: 집적회로 소자, 360: 유전막, 370: 커패시터, 400: 집적회로 소자, 414: 고유전막, 420G: 게이트 전극, 426A: 제1 금속함유층, 426B: 제2 금속함유층, 428: 갭필 금속층, LE: 하부 전극, UE: 상부 전극.

Claims (10)

  1. 다음 식 (1)의 니오븀 화합물
    Nb(R5Cp)2(L)
    (식 (1)에서,
    각각의 R 은 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 독립적으로 H 또는 C1 내지 C6의 알킬기이고,
    Cp는 시클로펜타디에닐기이고,
    L 은 포름아미디네이트 (NR , R'-fmd), 아미디네이트 (NR , R' R''-amd), 및 구아니디네이트 (NR , R', NR'' , R'''-gnd) 중에서 선택됨)을 포함하는 니오븀 전구체 조성물과, 반응물을 사용하여 기판 위에 니오븀 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
  2. 제1항에 있어서,
    상기 니오븀 화합물은 화학식 1, 화학식 2, 및 화학식 3 중 어느 하나의 식을 가지는 것을 특징으로 하는 박막 형성 방법.
    [화학식 1]
    Figure pat00010

    [화학식 2]
    Figure pat00011

    [화학식 3]
    Figure pat00012

    화학식 1, 화학식 2, 및 화학식 3에서,
    R, R', R'', 및 R'''은 각각 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 상기 정의한 바와 같음.
  3. 제1항에 있어서,
    상기 반응물은 N2, NH3, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, 피라졸린(pyrazoline), 이들의 라디칼, 및 이들의 혼합물 중에서 선택되는 것을 특징으로 하는 박막 형성 방법.
  4. 제1항에 있어서,
    상기 니오븀 함유막을 형성하는 단계는
    상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물을 기화시키는 단계와,
    상기 기화된 니오븀 전구체 조성물을 상기 기판 상에 공급하여 상기 기판 상에 Nb 소스 흡착층을 형성하는 단계와,
    상기 반응물을 상기 Nb 소스 흡착층 위에 공급하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
  5. 제4항에 있어서,
    상기 기화된 니오븀 전구체 조성물을 상기 기판 상에 공급하기 전에 상기 기화된 니오븀 전구체 조성물을 플라즈마 처리하는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.
  6. 제4항에 있어서,
    상기 반응물을 상기 Nb 소스 흡착층 위에 공급하기 전에 상기 반응물을 플라즈마 처리하는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.
  7. 제1항에 있어서,
    상기 니오븀 함유막을 형성하는 단계는
    상기 기판 상에 상기 식 (1)의 니오븀 화합물을 포함하는 니오븀 전구체 조성물과, 상기 반응물을 동시에 공급하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
  8. 제1항에 있어서,
    상기 니오븀 화합물은 상온에서 액체인 것을 특징으로 하는 박막 형성 방법.
  9. 기판 상에 하부 구조물을 형성하는 단계와,
    다음 식 (1)의 니오븀 화합물
    Nb(R5Cp)2(L)
    (식 (1)에서,
    각각의 R 은 독립적으로 H, C1 내지 C6의 알킬기, 또는 R1 3Si 이고, 여기서, 각각의 R1 은 독립적으로 H 또는 C1 내지 C6의 알킬기이고,
    Cp는 시클로펜타디에닐기이고,
    L 은 포름아미디네이트 (NR , R'-fmd), 아미디네이트 (NR , R' R''-amd), 및 구아니디네이트 (NR , R', NR'' , R'''-gnd) 중에서 선택됨)을 포함하는 니오븀 전구체 조성물과, 반응물을 사용하여 상기 하부 구조물 상에 니오븀 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  10. 제9항에 있어서,
    상기 하부 구조물을 형성하는 단계는 실리콘 산화물보다 더 높은 유전 상수를 가지는 고유전막을 형성하는 단계를 포함하고,
    상기 니오븀 함유막을 형성하는 단계는 상기 고유전막 위에 NbN 막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
KR1020150169058A 2015-11-30 2015-11-30 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 KR102442621B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020150169058A KR102442621B1 (ko) 2015-11-30 2015-11-30 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
CN201610986776.4A CN107026072B (zh) 2015-11-30 2016-11-09 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
US15/363,088 US10259836B2 (en) 2015-11-30 2016-11-29 Methods of forming thin film and fabricating integrated circuit device using niobium compound

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150169058A KR102442621B1 (ko) 2015-11-30 2015-11-30 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20170063092A true KR20170063092A (ko) 2017-06-08
KR102442621B1 KR102442621B1 (ko) 2022-09-13

Family

ID=58776723

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150169058A KR102442621B1 (ko) 2015-11-30 2015-11-30 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Country Status (3)

Country Link
US (1) US10259836B2 (ko)
KR (1) KR102442621B1 (ko)
CN (1) CN107026072B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019005433A1 (en) * 2017-06-28 2019-01-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude NIOBIUM-CONTAINING FILMOGENE COMPOSITIONS AND VAPOR DEPOSITION OF FILMS CONTAINING NIOBIUM
KR20210041843A (ko) 2019-10-08 2021-04-16 에스케이트리켐 주식회사 금속 함유 박막 형성을 위한 신규 전구체 및 이를 이용한 금속 함유 박막 형성 방법 및 상기 금속 함유 박막을 포함하는 반도체 소자.
KR20210044176A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 박막 형성 방법
KR20210056846A (ko) * 2019-11-11 2021-05-20 주식회사 이지티엠 니오븀 질화물 박막의 형성 방법
WO2022250400A1 (ko) * 2021-05-24 2022-12-01 에스케이트리켐 주식회사 반도체 박막 형성용 금속 전구체 화합물 및 이용하여 제조된 금속 함유 박막
US11524973B2 (en) 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same
WO2024058624A1 (ko) * 2022-09-16 2024-03-21 에스케이트리켐 주식회사 란탄족 금속 함유 박막 형성용 전구체, 이를 이용한 란탄족 금속 함유 박막 형성 방법 및 상기 란탄족 금속 함유 박막을 포함하는 반도체 소자.

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
KR102449895B1 (ko) 2018-05-18 2022-09-30 삼성전자주식회사 반도체 장치와 그 제조 방법
KR20200141809A (ko) 2019-06-11 2020-12-21 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR102627457B1 (ko) 2019-08-06 2024-01-19 삼성전자주식회사 나이오븀 화합물과 이를 이용하는 박막 형성 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
KR20080107296A (ko) * 2007-06-05 2008-12-10 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 유기 금속 화합물
US7638645B2 (en) * 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
KR102220703B1 (ko) * 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US20040185372A1 (en) * 2003-03-10 2004-09-23 Fuji Photo Film Co., Ltd. Dye-containing curable composition, color filter, and process of preparing color filter
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7572731B2 (en) 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7736697B2 (en) 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US7547796B2 (en) 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
CN101121734A (zh) * 2006-07-31 2008-02-13 罗门哈斯电子材料有限公司 有机金属化合物
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100871692B1 (ko) 2006-11-07 2008-12-08 삼성전자주식회사 저온 증착용 금속 전구체, 그를 사용한 금속 박막 형성방법 및 상변화 메모리 소자 제조 방법
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
US20080272421A1 (en) 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US20120156373A1 (en) 2008-06-05 2012-06-21 American Air Liquide, Inc. Preparation of cerium-containing precursors and deposition of cerium-containing films
EP2174942B1 (en) 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
KR100936490B1 (ko) 2009-05-08 2010-01-13 주식회사 유피케미칼 금속 산화막, 금속 질화막 및 순수 금속 박막 증착용 유기 금속 전구체 화합물과 그 제조방법 및, 그 화합물을 이용한 박막 증착 방법
US8642797B2 (en) 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
US8860002B2 (en) * 2012-12-20 2014-10-14 Intermolecular, Inc. Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells
EP2810949A1 (en) * 2013-06-04 2014-12-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium precursors and their use
US9518075B2 (en) 2013-12-13 2016-12-13 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7638645B2 (en) * 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
KR20080107296A (ko) * 2007-06-05 2008-12-10 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 유기 금속 화합물

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019005433A1 (en) * 2017-06-28 2019-01-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude NIOBIUM-CONTAINING FILMOGENE COMPOSITIONS AND VAPOR DEPOSITION OF FILMS CONTAINING NIOBIUM
US10174423B2 (en) 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US11524973B2 (en) 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same
KR20210041843A (ko) 2019-10-08 2021-04-16 에스케이트리켐 주식회사 금속 함유 박막 형성을 위한 신규 전구체 및 이를 이용한 금속 함유 박막 형성 방법 및 상기 금속 함유 박막을 포함하는 반도체 소자.
KR20210044176A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 박막 형성 방법
KR20210056846A (ko) * 2019-11-11 2021-05-20 주식회사 이지티엠 니오븀 질화물 박막의 형성 방법
WO2022250400A1 (ko) * 2021-05-24 2022-12-01 에스케이트리켐 주식회사 반도체 박막 형성용 금속 전구체 화합물 및 이용하여 제조된 금속 함유 박막
WO2024058624A1 (ko) * 2022-09-16 2024-03-21 에스케이트리켐 주식회사 란탄족 금속 함유 박막 형성용 전구체, 이를 이용한 란탄족 금속 함유 박막 형성 방법 및 상기 란탄족 금속 함유 박막을 포함하는 반도체 소자.

Also Published As

Publication number Publication date
US10259836B2 (en) 2019-04-16
US20170152277A1 (en) 2017-06-01
CN107026072A (zh) 2017-08-08
CN107026072B (zh) 2021-11-09
KR102442621B1 (ko) 2022-09-13

Similar Documents

Publication Publication Date Title
KR102442621B1 (ko) 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10651031B2 (en) Tantalum compound
JP6670824B2 (ja) 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物
KR101627988B1 (ko) 몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자
US10882873B2 (en) Method of forming tin-containing material film and method of synthesizing a tin compound
CN107619419B (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
JP7140476B2 (ja) アルミニウム化合物を利用した薄膜形成方法、並びに集積回路素子の製造方法
KR102424961B1 (ko) 란타넘 화합물 및 그 제조 방법과 란타넘 전구체 조성물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
KR20150105747A (ko) 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR20170023568A (ko) 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US11466043B2 (en) Niobium compound and method of forming thin film
TW202402771A (zh) 鈮、釩、鉭成膜組成物及利用其沉積含第v(五)族之膜
KR20100134035A (ko) 질화 하프늄의 옥사이드 또는 실리케이트의 박막 제조방법, 상기 방법에 사용된 배위 화합물, 및 전자 집적회로의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant