CN115104178A - 形成高品质含Si膜的超低温ALD - Google Patents

形成高品质含Si膜的超低温ALD Download PDF

Info

Publication number
CN115104178A
CN115104178A CN202080096171.2A CN202080096171A CN115104178A CN 115104178 A CN115104178 A CN 115104178A CN 202080096171 A CN202080096171 A CN 202080096171A CN 115104178 A CN115104178 A CN 115104178A
Authority
CN
China
Prior art keywords
substrate
plasma
silicon
reactor
containing film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080096171.2A
Other languages
English (en)
Inventor
野田直人
伊凡·奥谢普科夫
让-马克·吉拉尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN115104178A publication Critical patent/CN115104178A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

披露了一种用于使用三甲硅烷基胺(TSA)在超低温(如低于250℃的温度)下通过PEALD形成含Si膜(如SiN膜)的方法。

Description

形成高品质含Si膜的超低温ALD
相关申请的交叉引用
本申请要求2019年12月18日提交的美国专利申请号16/718,369的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及用于使用三甲硅烷基胺(TSA)在超低温(如低于250℃的温度)下通过等离子体增强的原子层沉积(PEALD)形成含Si膜(如SiN膜)的方法。
背景技术
含硅膜,如氮化硅(SiN)膜、氧化硅(SiO2)膜和氮氧化硅(SiON)膜,被广泛用于半导体或光伏(PV)技术中。SiN膜在半导体制造中被用作保护层、阻挡层、电荷捕获层或图案化掩蔽层。尤其,多重图案化是增强集成电路的特征密度的最近的关键制造技术之一。
使用原子层沉积(ALD)形成作为图案化层或保护层的含Si膜,以在具有复杂且精细结构(具有高纵横比(AR))(如3D结构)的较新的半导体器件上实现保形沉积。例如,在自对准双重图案(SADP)工艺中,介电膜应该保形沉积在空置图案(dummy pattern)或芯轴(mandrel)上。在此,芯轴通常是由有机材料像光刻胶(PR)或无定形碳(a-C)制成的,因此,沉积的介电膜必须与图案和/或芯轴的耐热性相容。
在大多数情况下(例如US9184159B2),ALD沉积的含Si膜是SiO2膜。SiN将是所希望的,因为它具有高的耐蚀刻性并且因此在下一个SADP期间和对于自对准四重图案化(SAQP)顺序步骤提供了良好的硬掩膜特性。
三甲硅烷基胺(TSA),N(SiH3)3被用作形成SiN膜的前体。例如,Tsai等人的US20150099342披露了通过使用TSA在耐热基底上形成SiO2膜的方法。沉积温度是在400℃至1000℃的范围内,该沉积温度可能与有机膜上的沉积不相容。Lei等人的US20170338109披露了用于通过使用TSA形成含Si膜的方法。基于专利文件中的图1,在250℃至400℃的温度范围内获得了保形膜,该温度范围也可能与有机膜上的沉积不相容。Dussarrat等人的US8357430披露了在300℃至900℃的温度范围内使用TSA通过化学气相沉积(CVD)形成SiN膜的方法,该温度范围也可能与有机膜上的沉积不相容。Dussarrat的US20090075490披露了通过原子层沉积(ALD)在等于或小于550℃的温度下形成含硅膜的方法。Dussarrat等人的US20090232985披露了在减压下通过气相沉积工艺在50℃至4000℃的基底温度下形成含氧化硅膜的方法。Sato等人(Proceedings of Chemical Vapor Deposition XVI andEUROCVD 14[化学气相沉积XVI和EUROCVD 14的进展],第1372页,2003)披露了使用不含Cl的无机三甲硅烷基胺用于氮化硅LPCVD的低温溶液。
近年来,要求在含有有机材料的基底如光刻胶层上和在非耐热功能层如GeSbTe(GST)上沉积SiN膜。
然而,US20150099342或US20170338109中的沉积温度太高而不能避免损坏基底。尤其当含有有机材料或硫属化物的基底的热稳定性低时,难以在如此高的温度下在基底上形成图案化层和保护膜。
降低沉积温度是可接受的以在非耐热膜上形成膜。然而,它可能由于前体和反应物的较低反应性而导致较低的密度或杂质的增加。因此,膜品质将如以上所述的大多数沉积的温度限制到损坏有机或硫属化物基底的温度。
出于这些原因,需要低温沉积工艺以在具有有机材料和/或硫属化物的基底上形成低杂质且高密度的含Si膜。
发明内容
披露了一种用于在基底的表面的至少一部分上沉积含硅膜的方法,该方法包括以下步骤:a)将三甲硅烷基胺的蒸气引入到其中安置有基底的反应器中;b)将共反应物气体引入该反应器中;以及c)重复步骤a)和b)直至使用气相沉积法在范围从大约20℃至大约250℃的沉积温度下将希望厚度的含硅膜沉积在该基底上。
所披露的方法可以包括以下方面中的一个或多个:
·进一步包括以下步骤:
在步骤a)之后,用第一吹扫气体吹扫反应器;以及
在步骤b)之后,用第二吹扫气体吹扫反应器;
·第一吹扫气体和第二吹扫气体是选自N2、Ar、Kr或Xe的惰性气体;
·气相沉积法是ALD;
·气相沉积法是PEALD;
·气相沉积法是空间ALD;
·气相沉积法是空间PEALD;
·沉积温度低于大约250℃;
·沉积温度低于大约150℃;
·沉积温度低于大约110℃;
·沉积温度范围是从大约室温至大约250℃;
·沉积温度范围是从大约20℃至大约150℃;
·沉积温度范围是从大约20℃至大约110℃;
·沉积温度范围是从大约20℃至大约50℃;
·基底具有低于大约250℃的温度;
·基底具有低于大约150℃的温度;
·基底具有低于大约110℃的温度;
·基底具有从大约室温至大约250℃的温度范围;
·基底具有从大约20℃至大约150℃的温度范围;
·基底具有从大约20℃至大约110℃的温度范围;
·基底具有从大约20℃至大约50℃的温度范围;
·反应器壁被加热至大约300℃或更低;
·反应器壁被加热至从大约20℃至大约250℃的温度范围;
·反应器壁被加热至从大约20℃至大约150℃的温度范围;
·反应器壁被加热至从大约20℃至大约50℃的温度范围;
·反应器中的压力保持在大约0.1托与大约100托之间;
·反应器中的压力保持在大约1托与大约50托之间;
·反应器中的压力保持在大约1托与大约10托之间;
·共反应物是含有氢、氮和氧中的至少一种的等离子体源;
·等离子体源选自由以下组成的组:氮等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氦等离子体、氩等离子体、氢等离子体、氢/氦等离子体、氢/有机胺等离子体、及其混合物;
·基底具有孔,该孔具有大约1∶1至大约40∶1的纵横比;
·孔是沟槽;
·孔是孔洞或通孔;
·含硅膜覆盖孔的至少一部分;
·孔的侧壁的阶梯覆盖率在大约0.6至大约1.2的范围内,并且孔的底部的阶梯覆盖率在大约0.6至大约1.5的范围内;
·基底是热敏性基底;
·热敏性基底含有选自光刻胶、a-C、塑料、聚酰亚胺的有机材料,或硫属化物;
·基底含有热敏性特征;
·热敏性特征包括在基底中的极细金属线;
·热敏性特征包括在基底中的半导体翅片;
·反应物或共反应物引入到反应器中;
·共反应物是还原气体或含氮气体;
·含氮气体包括但不限于NH3,NO,N2O,肼,伯胺,如甲胺、乙胺、叔丁胺;仲胺,如二甲胺、二乙胺、二异丙胺、乙基甲基胺、吡咯烷;叔胺,如三甲胺、三乙胺、三甲硅烷基胺,N2,其N2/H2混合物;
·含氮气体是NH3
·共反应物通过等离子体原位或远程活化;
·对于N2或N2/H2,需要等离子体活化;
·共反应物选自NH3、NO、N2O、肼、N2等离子体、N2/H2等离子体、胺及其组合;
·共反应物是N2等离子体;
·当基底温度低于250℃时,N2等离子体是足够的共反应物;
·共反应物是氧化剂或含氧气体;
·含氧气体包括但不限于氧化剂,如O3、O2、H2O、NO、N2O、H2O2、O自由基及其组合;
·含氧气体是O3或O2
·含Si膜含有SiN、SiO2或SiON;
·含Si膜含有SiN或SiON;
·含Si膜含有SiN;
·含Si膜的每循环生长(GPC)在大约0.015nm/循环至大约0.15nm/循环的范围内;
·含硅膜的RI(折射率)是大约1.96;
·含硅膜不含碳;
·含硅膜中的C浓度在0%至大约3%的范围内;并且
·含硅膜的密度是大约2.98g/cm3
符号和命名法
以下详细说明和权利要求书利用了本领域中通常众所周知的许多缩写、符号和术语,并且包括:
如本文所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如本文所使用,在正文或权利要求书中的“约(about)”或“大约(around/approximately)”意指所述值的±10%。
如本文所使用,在正文或权利要求书中的“室温”意指从大约20℃至大约25℃。
术语“基底”是指在其上进行工艺的一种或多种材料。基底可以是指具有在其上进行工艺的一种或多种材料的晶片。基底可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的任何合适的晶片。基底还可具有从先前的制造步骤已经沉积在其上的一个或多个不同材料层。例如,晶片可以包括硅层(例如,结晶的、无定形的、多孔的等)、含硅层(例如,SiO2、SiN、SiON、SiCOH等)、含金属层(例如,铜、钴、钌、钨、铂、钯、镍、钌、金等)或其组合。此外,基底可以是平面的或图案化的。基底可以是有机图案化的光刻胶膜。基底可以包括用作MEMS、3D NAND、MIM、DRAM或FeRam器件应用中的介电材料(例如,基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等)的氧化物层或用作电极的基于氮化物的膜(例如,TaN、TiN、NbN)。本领域普通技术人员将认识到,本文所使用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可为沟槽或线。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为基底。
术语“晶片”或“图案化的晶片”是指在基底上具有膜的堆叠并且至少最顶部的膜具有已经在沉积含硅膜之前的步骤中产生的形貌特征的晶片。
术语“纵横比”是指沟槽(或孔)的高度与沟槽的宽度(或孔的直径)的比率。
在本文中需注意,术语“膜”和“层”可以互换使用。应理解的是,膜可以对应于层或者与层相关,并且该层可以是指该膜。此外,本领域普通技术人员将认识到,本文所使用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可在从与整个晶片一样大至与沟槽或线一样小的范围内。
在本文中需注意,当前体在室温和环境压力下呈气态时,术语“前体”和“沉积化合物”和“沉积气体”可以互换使用。应理解的是,前体可以对应于沉积化合物或沉积气体,或者与沉积化合物或沉积气体相关,并且沉积化合物或沉积气体可以是指前体。
在本文中需注意,术语“沉积温度”和“基底温度”可以互换使用。应理解的是,基底温度可以对应于沉积温度或者与沉积温度相关,并且沉积温度可以是指基底温度。
如本文所使用,缩写“NAND”是指“与非(″Negated AND″或″Not AND″)”门;缩写“2D”是指平面基底上的2维栅极结构;缩写“3D”是指3维或垂直栅极结构,其中栅极结构在垂直方向上堆叠。
本文中使用元素周期表的元素的标准缩写。应理解的是,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳,H是指氢,F是指氟等)。
提供了由化学文摘服务社(Chemical Abstract Service)指定的唯一的CAS登记号(即“CAS”)以识别所披露的特定分子。
请注意,含硅膜,如SiN、SiO和SiON,贯穿本说明书和权利要求书列出,而不提及其适当的化学计量学。含硅膜还可包括掺杂剂,如B、P、As、Ga和/或Ge。膜组成描述中也省略了膜含有一些残留氢的事实。例如,SiOC膜可能含有残留H。
在本文中范围可以表述为从约一个具体值和/或到约另一个具体值。当表述此种范围时,应理解的是另一个实施例是从所述一个具体值和/或到所述另一个具体值、连同在所述范围内的所有组合。本文中所述的任何及所有范围包括其端点(即,x=1至4或x在从1至4的范围内包括x=1、x=4及x=其间的任何数值),不论是否使用术语“包括端点”。
在本文中对“一个实施例”或“实施例”的提及意指关于该实施例描述的特定特征、结构或特性可以包括在本发明的至少一个实施例中。说明书中不同地方出现的短语“在一个实施例中”不一定全部是指同一个实施例,单独的或替代性的实施例也不一定与其他实施例互斥。上述情况也适用于术语“实施”。
如本文所使用,术语“独立地”当在描述R基团的上下文中使用时应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且还相对于同样的R基团的任何另外种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x为2或3,两个或三个R1基团可(但无需)彼此相同或与R2或R3相同。进一步地,应理解,除非另外确切地指明,否则当用于不同式中时,R基团的值彼此独立。
如本申请所使用,词语“示例性的”在本文中用于意指充当实例、例子或例证。本文描述为“示例性的”的任何方面或设计并不一定被解释为优于或有利于其他方面或设计。相反,使用词语示例性的旨在以具体的方式描述概念。
另外,术语“或”旨在意指包括性的“或”而不是排他性的“或”。也就是说,除非另有说明或从上下文中清楚,否则“X采用A或B”旨在意指任何自然的包括性排列。也就是说,如果X采用A;X采用B;或者X采用A和B两者,则在任何前述情况下均满足“X采用A或B”。此外,如本申请和所附权利要求中所使用的冠词“一个/一种(a/an)”通常应解释为意指“一个/一种或多个/多种”,除非另有说明或从上下文中清楚地指示单数形式。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在附图中相似元件给予相同或类似的参考号,并且其中:
图1是展示使用TSA在110℃和250℃下PEALD SiN膜的GPC、WER和密度的结果的图。
具体实施方式
披露了用于使用三甲硅烷基胺(TSA)在超低温(如低于250℃)下通过等离子体增强的ALD(PEALD)形成含Si膜的方法。更具体地,披露了用于使用TSA在低于250℃的温度下通过PEALD形成SiN、SiO2或SiON膜的方法。
最近,在半导体制造工艺中,要求在热敏性基底上沉积含硅膜。热敏性基底可以含有有机材料,如光刻胶(PR)、无定形碳(a-C)、塑料、聚酰亚胺,或硫属化物(例如,GeSbTe(GST))。热敏性基底可以含有热敏性特征,如在基底中的极细金属线和/或半导体翅片。为了在热敏性基底上沉积含硅膜并保护热敏性基底材料,需要高品质的含硅膜,其具有低蚀刻速率、高密度、优异的阶梯覆盖率(SC)、低Si-H含量、不含碳和卤素污染物、高生长速率和低于250℃的沉积温度。所披露的方法描述了将前体TSA应用于PEALD工艺中以使用N2等离子体在低于250℃的温度下、优选在低于150℃的温度下、更优选在低于110℃的温度下产生此类高品质的含硅膜。通过所披露的方法形成的含Si膜包括但不限于SiN膜、SiO2膜或SiON膜。
所披露的前体TSA(CAS号13862-16-3,TSA,(SiH3)3N))适合于通过ALD工艺、优选PEALD工艺或空间ALD沉积含Si膜,如SiN、SiO2和SiON,并且具有以下优点:
·在室温下的液体含Si前体;
·不含碳和卤素;
·分子中的直接Si-N键;
·非常易挥发(蒸气压力:在室温下为315托);
·在1个大气压下的沸点为52℃;
·热稳定的以使能使用工业标准方法(鼓泡器、直接液体注入、蒸气抽取)实现适当的分布和蒸发,而没有颗粒产生和产物分解;
·与基底的适当反应性以允许宽的自限制ALD窗口,从而允许沉积各种含Si膜,如SiN、SiO2和SiON等;
·化学吸附的前体与共反应物的适当反应性以形成含Si膜;以及
·化学吸附的物质的固体热稳定性以防止在超低温下在基底表面上的自分解和寄生CVD生长。
为了确保工艺可靠性,所披露的前体TSA可在使用前通过连续或分级分批蒸馏或升华纯化至范围为从大约93%按重量计或w/w至大约100%w/w、优选范围为从大约99%w/w至大约99.999%w/w、更优选范围为从大约99%w/w至大约100%w/w的纯度。
所披露的前体TSA可含有任何以下杂质:不期望的同类物质;溶剂;氯化的金属化合物;或其他反应产物。在一个实施例中,这些杂质的总量低于0.1%w/w。
在TSA的合成中可以使用溶剂,如己烷、戊烷、二甲醚、或苯甲醚。溶剂在所披露的TSA中的浓度范围可以是从大约0%w/w至大约5%w/w、优选从大约0%w/w至大约0.1%w/w。
在一个替代方案中,所披露的TSA含有小于5%按体积计(v/v)、优选小于1%v/v、更优选小于0.1%v/v、并且甚至更优选小于0.01%v/v的其不期望的同类物质、反应物或其他反应产物中的任一种。此替代方案可提供更好的工艺可重复性。此替代方案可以通过TSA的蒸馏而产生。
在另一个替代方案中,所披露的TSA可含有在5%v/v与50%v/v之间的同类含Si前体、反应物、或其他反应产物中的一种或多种,特别是当混合物提供改进的工艺参数或分离目标化合物过于困难或昂贵时。例如,两种含Si前体的混合物可产生适用于气相沉积的稳定的液体混合物。
痕量金属和类金属在所披露的TSA中的浓度范围各自可以是从大约0ppb至大约100ppb、并且更优选从大约0ppb至大约10ppb。
还披露了用于在反应室中使用ALD工艺(例如PEALD)在基底上形成含Si层的方法或工艺。该方法可用于自对准的双重图案(SADP)工艺中以在半导体制造工艺或光伏器件中的空置图案或芯轴上保形沉积介电膜。所披露的TSA可用于使用本领域技术人员已知的ALD方法沉积含Si膜。
所披露的工艺包括用于使用前体TSA沉积含Si膜的ALD工艺。合适的ALD方法包括热ALD、空间ALD和时间ALD方法。优选地合适的ALD方法可以使用等离子体。示例性的等离子体ALD包括PEALD和空间PEALD。应理解的是,合适的ALD可以在非理想的自限制生长方式下运行,从而允许发生一些寄生CVD。只要所沉积的膜满足保形性要求,此种寄生CVD可能不是问题。
反应室或反应器可以是在其中进行沉积方法的装置的任何密闭室或腔室,如但不限于平行板型反应器、热壁型反应器、单晶片反应器、多晶片反应器、或其他此类类型的沉积系统。所有这些示例性反应室都能够用作ALD反应室。
反应器含有一个或多个其上将沉积有含Si膜的基底。基底一般定义为在其上进行工艺的材料。基底可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的热敏性基底。热敏性基底的实例包括含有有机材料如光刻胶、无定形碳(a-C)、塑料、聚酰亚胺,硫属化物(例如,GST)等的基底。热敏性基底的实例包括在基底中含有热敏性特征(如在基底中的极细金属线和/或在基底中的半导体翅片)的基底。因此,用于在热敏性基底上沉积含硅层的可用沉积温度(即超低温)是必要的以在沉积过程期间保护基底中的有机材料和/或精细特征。
基底可以是其中具有图案的晶片。晶片可以含有孔,如沟槽或通孔,其具有1∶1至40∶1的纵横比(AR)。所沉积的含硅膜覆盖孔的至少一部分。孔的侧壁的阶梯覆盖率可以在0.6至1.2的范围内。孔的底部的阶梯覆盖率可以在0.6至1.5的范围内。
基底还可具有从先前的制造步骤已经沉积在其上的一个或多个不同材料层。例如,晶片可包括硅层(结晶的、无定形的、多孔的等)、氧化硅层、氮化硅层、氮氧化硅层、掺杂碳的氧化硅(SiCOH)层或其组合。附加地,晶片可以包括铜、钴、钌、钨和/或其他金属层(例如铂、钯、镍、钌、或金)。晶片可以包括阻挡层或电极,如钽、氮化钽等。层可以是平面的或图案化的。基底可以是有机图案化的光刻胶膜。基底可以包括用作3D NAND、MIM、DRAM、或FeRam技术中的介电材料(例如,基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等)的氧化物层或来自用作电极的基于氮化物的膜(例如,TaN、TiN、NbN)。所披露的工艺可直接在晶片上或直接在晶片顶部的一个或多于一个(当图案化层形成基底时)层上沉积含Si层。此外,本领域普通技术人员将认识到,本文所用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可为沟槽或线。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为基底。所利用的实际基底还可取决于所利用的特定前体实施例。
使用所披露的TSA的所披露的ALD工艺可以在具有大约250℃或更低、优选大约150℃或更低、更优选大约120℃或更低、甚至更优选大约50℃或更低的温度的基底上进行。使用前体TSA的所披露的ALD工艺可以在具有从大约室温至大约250℃、优选从大约室温至大约150℃、更优选从大约室温至大约110℃的温度范围的基底上进行。
可通过控制基底固持器的温度或控制反应器壁的温度来控制反应器腔室的温度。用于加热基底的装置是本领域中已知的。反应器壁被加热至足够的温度以防止壁或反应器腔室的凝结,尤其是当使用其中基底温度高于壁温的喷淋头反应器时。非限制性示例性温度范围(可将反应器壁加热到该温度范围)包括从大约20℃至大约250℃、优选从大约20℃至大约150℃、更优选从20℃至大约110℃的范围。可替代地,非限制性示例性温度(可将反应器壁加热到该温度)包括大约300℃或更低。当进行等离子体沉积工艺时,基底被加热至足够温度以便以足够生长速率和所期望的物理状态和组成获得所希望厚度的膜。对于等离子体沉积工艺,沉积温度范围可以是从大约20℃至大约250℃、优选从大约20℃至大约150℃、更优选从20℃至大约110℃。
将反应室内的压力保持在适用于前体TSA与基底表面反应的条件下。例如,反应器中的压力可以保持在大约0.1托与大约100托之间、优选在大约1托与大约50托之间、更优选在大约1托与大约10托之间。
除了所披露的前体TSA之外,还可以将反应物或共反应物引入反应器中。共反应物可以是含氮气体或还原气体。含氮气体包括但不限于NH3,NO,N2O,肼,伯胺,如甲胺、乙胺、叔丁胺;仲胺,如二甲胺、二乙胺、二异丙胺、乙基甲基胺、吡咯烷;叔胺,如三甲胺、三乙胺、三甲硅烷基胺,N2,其N2/H2混合物,优选NH3。共反应物可以通过等离子体原位或远程活化。对于N2或N2/H2,需要等离子体活化。共反应物可以选自NH3、NO、N2O、肼、N2等离子体、N2/H2等离子体、胺及其组合。诸位申请人发现,当基底温度低于250℃时,N2等离子体可以是足够的共反应物。可替代地,共反应物可以是含氧气体或氧化剂。含氧气体包括但不限于氧化剂,如O3、O2、H2O、NO、N2O、H2O2、O自由基及其组合,优选O3或O2
此外,共反应物是含有氢、氮和氧中的至少一种的等离子体源。等离子体源可以是氮等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氦等离子体、氩等离子体、氢等离子体、氢/氦等离子体、氢/有机胺等离子体、及其混合物。
在使用所披露的TSA前体的所披露的ALD工艺中基底暴露时间范围可以是从1毫秒至5分钟、优选从1秒至20秒。在所披露的ALD工艺中共反应物暴露时间范围可以是从1毫秒至3分钟、优选从100毫秒至60秒。
所披露的ALD工艺或顺序典型地包括通过提供吹扫步骤从沉积表面去除过量的前体TSA的步骤,该吹扫步骤是通过用惰性气体(如N2、Ar、Kr或Xe)吹扫反应器,或者使基底通过在高真空下的区段和/或载气帘。所披露的ALD工艺或顺序典型地还包括通过提供吹扫步骤从沉积表面去除过量的共反应物的步骤,该吹扫步骤是通过用惰性气体(如N2、Ar、Kr或Xe)吹扫反应器,或者使基底通过在高真空下的区段和/或载气帘。
可以将所披露的前体TSA和共反应物顺序地引入反应器中(ALD)。可以分别在引入前体和引入共反应物之后用惰性气体(如N2、Ar、Kr或Xe)吹扫反应器。
可替代地,可以将基底从用于前体TSA暴露的一个区域移动到用于共反应物暴露的另一个区域(其是空间ALD或空间PEALD工艺)。
根据具体的工艺参数,沉积可能进行不同的时间长度。通常,可使沉积继续所希望或所必需长度的时间以产生具有必需厚度的膜。根据特定的沉积工艺,典型的膜厚度可以从原子单层到几百微米、优选在大约1nm与大约100nm之间、更优选在大约1nm与大约50nm之间变化。沉积工艺也可以进行获得所希望厚度的膜所必需的很多次。
在一个非限制性示例性ALD型工艺中,将蒸气相的所披露的前体TSA引入到反应器中,其中TSA物理吸附或化学吸附在基底上。然后可通过吹扫和/或排空反应器从反应器中去除过量的组合物。将所希望的还原气体(例如,N2)引入到反应器中,在该反应器中使其以自限制的方式与物理吸附或化学吸附的前体反应。通过吹扫和/或排空反应器从反应器中去除任何过量的还原气体。如果所希望的膜是SiN膜,则此两步工艺可提供所希望的膜厚度或可被重复直至获得具有希望厚度的膜。将还原气体替换为氧化剂(如O3或O2),用此两步工艺也将生产希望膜厚度的SiO2膜。
用N2等离子体作为共反应物使用所披露的前体TSA的所披露的PEALD工艺能够沉积具有以下特性的高品质的SiN膜:小于大约0.50的WER(归一化至热生长的SiO2)、范围从大约0.015nm/循环至大约0.15nm/循环的GPC、范围从大约1.9至大约2.18的RI、在所形成的膜中不含碳或C浓度在0%至大约3%的范围内、大约2.98g/cm3的密度,来自以下实例。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例不旨在包括所有实例,并且不旨在限制本文所述发明的范围。
实例1.使用TSA用N2等离子体在250℃下PEALD SiN膜
使用TSA在250℃下PEALD SiN膜的结果如下。
·每循环生长(GPC):0.95nm/循环;
·折射率(RI):1.97;
·密度:2.97g/cm3
·在0.1%氟化氢(HF)中的湿蚀刻速率(WER):0.21(用热氧化物SiO2归一化);
·在FT-IR中没有观察到Si-H;以及
·在XPS中没有观察到C或卤素。
实例2.使用TSA用N2等离子体在110℃下PEALD SiN膜
使用TSA在110℃下PEALD SiN膜的结果如下。
·每循环生长(GPC):0.107nm/循环;
·折射率(RI):1.95;
·密度:2.98g/cm3
·在0.1%HF中的湿蚀刻速率(WER):0.3(用热氧化物SiO2归一化);
·在FT-IR中没有观察到Si-H;以及
·在XPS中没有观察到C或卤素。
用TSA在110℃下通过PEALD形成的SiN膜的膜品质几乎与用TSA在250℃下通过PEALD沉积的SiN膜相同,参见图1。据我们所知,从来没有用其他氨基硅烷前体获得此种高品质的SiN膜。例如,图1中所示的氨基硅烷,N,N-二异丙基-N,N-二甲硅烷基硅烷二胺(DDSDA),其中GPC:0.7,密度:2.75g/cm3,WER:1.5,在110℃沉积温度下。此外,Knoops等人(Harm.C.M.Knoops等人,App.Mater.Interfaces[应用材料与界面],2015,7,第19857-19862页)披露了用双(叔丁基氨基)硅烷(BTBAS)和N2等离子体在100℃下PEALD SiN膜具有比用TSA在110℃下通过PEALD形成的SiN膜更低的膜品质。用BTBAS和N2等离子体在100℃下PEALD SiN膜的结果包括RI:1.63;GPC:0.093nm/循环;密度:在100℃下没有数据但在200℃下为2.2g/cm3。表1是使用TSA、DDSDA和BTBAS通过PEALD形成的SiN膜的比较。BTBAS的数据是从Knooks等人复制而来。DDSDA的结构为:
Figure BDA0003790584810000141
表1
Figure BDA0003790584810000142
尽管本文描述的主题可以在说明性实施的上下文中描述,以处理具有用户交互组件的计算应用的一个或多个计算应用特征/操作,但是主题不限于这些具体实施例。而是,本文描述的技术可以应用于任何合适类型的用户交互组件执行管理方法、系统、平台和/或装置。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明的原则和范围内做出本文已经描述且阐明以解释本发明的本质的细节、材料、步骤和零件布置上的许多附加的改变。因此,本发明不意图限于上面给出的实例和/或附图中的特定实施例。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。本文描述的实施例只是示例性的且是非限制性的。组成和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于本文描述的实施例,而仅受随后的权利要求所限定,其范围应包括权利要求的主题的所有等效物。

Claims (20)

1.一种用于在基底上沉积含硅膜的方法,该方法包括以下步骤:
a)将三甲硅烷基胺的蒸气引入到其中安置有基底的反应器中;
b)将共反应物气体引入该反应器中;以及
c)重复步骤a)和b)直至使用气相沉积法在范围从大约20℃至大约250℃的沉积温度下将希望厚度的该含硅膜沉积在该基底上。
2.如权利要求1所述的方法,其进一步包括以下步骤:
在步骤a)之后,用第一吹扫气体吹扫该反应器中的该三甲硅烷基胺的蒸气;以及
在步骤b)之后,用第二吹扫气体吹扫该反应器中的该共反应物气体。
3.如权利要求2所述的方法,其中,该第一吹扫气体和该第二吹扫气体是选自N2、Ar、Kr或Xe的惰性气体。
4.如权利要求1所述的方法,其中,该沉积温度范围是从大约20℃至大约150℃。
5.如权利要求1所述的方法,其中,该沉积温度范围是从大约20℃至大约110℃。
6.如权利要求1所述的方法,其中,该共反应物是含有氢、氮和氧中的至少一种的等离子体源。
7.如权利要求6所述的方法,其中,该等离子体源选自N2等离子体、N2/He等离子体、N2/Ar等离子体、NH3等离子体、NH3/He等离子体、NH3/Ar等离子体、H2/有机胺等离子体、或其混合物。
8.如权利要求6所述的方法,其中,该等离子体源选自O3、O2、H2O、NO、N2O、H2O2、O自由基或其组合。
9.如权利要求1所述的方法,其中,该共反应物是N2、O3或O2等离子体。
10.如权利要求1所述的方法,其中,该基底具有沟槽或孔洞,该沟槽或孔洞具有大约1∶1至大约40∶1的纵横比。
11.如权利要求10所述的方法,其中,该含硅膜覆盖该沟槽或孔洞的至少一部分。
12.如权利要求10所述的方法,其中,该孔的侧壁的阶梯覆盖率在大约0.6至大约1.2的范围内,并且该孔的底部的阶梯覆盖率在大约0.6至大约1.5的范围内。
13.如权利要求1所述的方法,其中,该气相沉积工艺是ALD工艺。
14.如权利要求13所述的方法,其中,该ALD工艺是PEALD工艺或空间ALD工艺。
15.如权利要求1至14中任一项所述的方法,其中,该基底是含有有机材料或硫属化物和热敏性特征的热敏性基底,这些有机材料选自光刻胶、a-C、塑料、聚酰亚胺,这些热敏性特征包括在该基底中的极细金属线。
16.如权利要求1至14中任一项所述的方法,其中,该含Si膜是SiN或SiON。
17.如权利要求1至14中任一项所述的方法,其中,该含硅膜不含碳。
18.如权利要求1至14中任一项所述的方法,其中,该含Si膜的GPC在大约0.015nm/循环至大约0.15nm/循环的范围内。
19.如权利要求1至14中任一项所述的方法,其中,该含硅膜的RI是大约1.96。
20.如权利要求1至14中任一项所述的方法,其中,该含硅膜的密度是大约2.98g/cm3
CN202080096171.2A 2019-12-18 2020-12-18 形成高品质含Si膜的超低温ALD Pending CN115104178A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/718,369 2019-12-18
US16/718,369 US11482414B2 (en) 2019-12-18 2019-12-18 Ultra-low temperature ALD to form high-quality Si-containing film
PCT/US2020/066050 WO2021127464A1 (en) 2019-12-18 2020-12-18 Ultra-low temperature ald to form high-quality si-containing film

Publications (1)

Publication Number Publication Date
CN115104178A true CN115104178A (zh) 2022-09-23

Family

ID=73456149

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080096171.2A Pending CN115104178A (zh) 2019-12-18 2020-12-18 形成高品质含Si膜的超低温ALD

Country Status (7)

Country Link
US (1) US11482414B2 (zh)
EP (1) EP4078659A1 (zh)
JP (1) JP7357794B2 (zh)
KR (1) KR20220116268A (zh)
CN (1) CN115104178A (zh)
TW (1) TWI830973B (zh)
WO (1) WO2021127464A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102029286B1 (ko) 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
KR20140138276A (ko) 2012-03-09 2014-12-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 박막 트랜지스터 소자 상에 실리콘 함유 막을 제조하는 방법
JP2014060309A (ja) 2012-09-19 2014-04-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102188750B1 (ko) 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
KR102190532B1 (ko) * 2017-11-22 2020-12-15 (주)디엔에프 실리콘 함유 박막 증착용 조성물 및 이를 이용한 실리콘 함유 박막의 제조방법
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers

Also Published As

Publication number Publication date
JP7357794B2 (ja) 2023-10-06
WO2021127464A1 (en) 2021-06-24
TW202129067A (zh) 2021-08-01
US20200373148A1 (en) 2020-11-26
KR20220116268A (ko) 2022-08-22
TWI830973B (zh) 2024-02-01
JP2023507308A (ja) 2023-02-22
US11482414B2 (en) 2022-10-25
EP4078659A1 (en) 2022-10-26

Similar Documents

Publication Publication Date Title
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
TWI764437B (zh) 含金屬膜之區域選擇性沈積
KR20230152748A (ko) 박막 증착 공정에서 금속 옥시할로겐화물 전구체로부터 산소를 제거하기 위한 시약
US20220037144A1 (en) Methods for making silicon and nitrogen containing films
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法
JP7357794B2 (ja) 高品質Si含有膜を形成するための超低温ALD
US20210395884A1 (en) Silicon precursor compounds and method for forming silicon-containing films
TWI776109B (zh) 在550°C或更高的溫度下使用ALD沈積含Si膜之先質及製程
TWI640651B (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
JP7458296B2 (ja) ハロゲンアミノジシラン化合物、シリコン含有薄膜形成用組成物およびシリコン含有薄膜
JP7426538B2 (ja) ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法
TWI830206B (zh) 矽前驅物化合物及形成含矽膜之方法
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
TW202400615A (zh) 用於ald沉積硼氮化物膜的含硼前驅物
TW202240004A (zh) 高通量沈積方法
KR20230173502A (ko) 실리콘 함유막의 형성 공정 및 이를 이용한 집적회로 소자의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination