JP2007505492A - 半導体デバイスにおける造形部分のパターン形成技術 - Google Patents

半導体デバイスにおける造形部分のパターン形成技術 Download PDF

Info

Publication number
JP2007505492A
JP2007505492A JP2006526058A JP2006526058A JP2007505492A JP 2007505492 A JP2007505492 A JP 2007505492A JP 2006526058 A JP2006526058 A JP 2006526058A JP 2006526058 A JP2006526058 A JP 2006526058A JP 2007505492 A JP2007505492 A JP 2007505492A
Authority
JP
Japan
Prior art keywords
substrate
antireflective material
deposited
antireflective
shaped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006526058A
Other languages
English (en)
Other versions
JP4755592B2 (ja
JP2007505492A5 (ja
Inventor
アラン、スコット、ディー
バビッチ、カテリナ、イー
ホームズ、スティーブン、ジェイ
マホロワラ、アルパン、ピー
ファイファー、ダーク
ワイズ、リチャード、ステファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007505492A publication Critical patent/JP2007505492A/ja
Publication of JP2007505492A5 publication Critical patent/JP2007505492A5/ja
Application granted granted Critical
Publication of JP4755592B2 publication Critical patent/JP4755592B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】 パターン形成される造形部分の微小寸法を縮小する技術を提供すること。
【解決手段】 半導体の処理のための技術が提供される。1つの態様において、半導体デバイスに1つまたはそれ以上の造形部分をパターン形成するための方法は、以下のステップを含む。反射防止材のエッチング中に、1つまたはそれ以上の造形部分の少なくとも1つの微小寸法が縮小される。リソグラフィ構造もまた提供される。
【選択図】 図2

Description

本発明は、半導体の処理に関し、より具体的には、半導体デバイスにパターン形成される造形部分の微小寸法を縮小することに関する。
技術は、リソグラフィによって可能な以上に微小な造形部分のパターン形成を必要とする場合が多い。例えば、コンタクト・ホールやバイアのような造形部分をパターン形成する際に、リソグラフィでレジストに画定されるよりさらに狭く基板に形成される微小寸法を持った造形部分を有するのが望ましいことがある。造形部分の微小寸法は、用いられる技術によって得られるその造形部分特有の寸法である。パターン形成される造形部分の微小寸法を縮小する従来技術は存在するが、これら技術には幾つかの欠点がある。
微小寸法を縮小する1つの一般的技術は、レジストのリフロー及びオーバーコート膜を用いるもの、例えば、化学的縮小による分解能向上リソグラフィ(RELACS)プロセスを含む。レジストのリフローを制御するのは極めて難しく、これは、ベークプレート中の非常にわずかな温度のばらつきが、各ウェハ中の微小寸法に大きなばらつきをもたらす可能性があるからである。標準感度は、摂氏1度につき10ナノメートル(nm/℃)のオーダーである。オーバーコート膜は光現像され化学的に増幅されたレジストの上にスピン塗布することができる。光酸がレジストからオーバーコート膜内に拡散し、オーバーコート膜を交差結合させる。オーバーコート膜のうちレジストと物理的に接触していない部分、例えば造形部分底部は交差結合されていないため、現像によって除去することができる。この技術は、レジストの種類を極めて限定するために、実行が難しい。さらに、交差結合したオーバーコート膜は、基板のエッチング・ステップの際のエッチング耐性が低く、従って、得られた微小寸法の縮小分を無にしてしまうことになる。レジスト・造形部分を化学的に偏らせるためにレジストのシリル化を用いることもできるが、この場合もまた、クロス・ウェハや入れ子状に孤立した偏りの問題に悩まされる。さらに、前述した技術は、得られる造形部分が鋭角ではなく丸みを帯びた角を有することがある。
別の一般的技術は、重合化学エッチングを用いることによって基板にテーパを生じさせ、それによって造形部分底部における微小寸法を縮小するというものである。基板上部の造形部分の微小寸法は、上に重なる層、例えば、反射防止層をエッチングした後に得られる微小寸法とほぼ同じである。基板に形成される造形部分側壁はわずかにテーパしており、これは多くの用途にとって望ましいものである。例えば、誘電体層にコンタクト・ホールやバイアがパターン形成された後は、完全に垂直ではなくわずかにテーパした誘電体基板の側壁によって、銅シード層の堆積が容易になる。しかしながら、縮小できる微小寸法の最大値は、許容可能な側壁のテーパの度合いに依存する。例として、誘電体層が厚さ約500ナノメートル(nm)で、側壁が水平線に対して約88.5度をなす場合には、造形部分底部は、造形部分上部より約20nm狭くなるであろう。もともと造形部分上部の微小寸法が広すぎる場合には、いかに良く見積もってもこの技術の効果は極めて限定される。
従って、広い範囲にわたる微小寸法規格を有する造形部分をパターン形成するために、効果的で、再現性があり、多目的の、パターン形成される造形部分の微小寸法を縮小する技術があれば望ましいであろう。さらに、用いられるレジストの種類に関係なく使用可能であり、ウェハ全体にわたって均一な造形部分を量産するために提供される、パターン形成される造形部分の微小寸法を縮小する技術が必要とされている。
本発明は半導体の処理のための技術を提供する。本発明の1つの態様において、半導体デバイスに1つまたはそれ以上の造形部分をパターン形成する方法は、以下のステップを含む。反射防止材のエッチング中に、1つまたはそれ以上の造形部分の少なくとも1つの微小寸法が縮小される。
本発明の別の態様において、リソグラフィ構造は、中にパターン形成された造形部分を有する反射防止材を含み、造形部分は少なくとも1つの縮小された微小寸法を有する。
以下の詳細な説明と図面を参照することで、本発明のさらなる特徴や利点はもちろん、本発明のさらに十分な理解が得られるであろう。
図1は、従来技術を用いてエッチングされ、微小寸法が縮小された造形部分を有する半導体デバイスを示す図である。図1に示すように、半導体デバイス100は、反射防止材104の上に堆積された放射線感応性画像形成層102を含む。反射防止材104は基板106の上に堆積される。基板106は窒化物層108の上に堆積される。造形部分110は、放射線感応性画像形成層102、反射防止材104、及び、基板106にエッチングされる。
半導体デバイスにエッチングされる造形部分の微小寸法を縮小する従来の方法によると、造形部分110の微小寸法は、基板106においてのみ変更、すなわち縮小される。従って、造形部分110の微小寸法は、放射線感応性画像形成層102及び反射防止材104のエッチングの際には不変である。半導体デバイス100のように、両方の層が主に有機種からなる場合には、放射線感応性画像形成層102又は反射防止材104において造形部分110の微小寸法を縮小することは、実質的に不可能である。
以下に説明するように、基板のエッチング時にのみ縮小できる造形部分の微小寸法の度合いは限られている。基板のような単一の層の造形部分の微小寸法を縮小しようとすると、造形部分の損傷という結果につながることがある。
図2は、半導体デバイスの造形部分の微小寸法を縮小するための例示的な技術を示す図である。半導体デバイスを処理するとき、造形部分の微小寸法は、用いられる技術によって得られる、造形部分の特有の寸法を含む。例えば、以下で詳細に説明されるように、造形部分がコンタクト・ホールからなる場合には、微小寸法は、形成されるコンタクト・ホールの直径寸法を表すことができる。
以下に詳細に説明されるように、造形部分の微小寸法を縮小するための技術はまた、造形部分の微小寸法を調整するために用いることができる。ここで用いられる「調整する」という用語は、微小寸法が変更される度合いを制御することに関するものである。
図2に示すように、半導体デバイス200は、反射防止材204の上に堆積された放射線感応性画像形成層202を含む。反射防止材204は基板206の上に堆積される。基板206は、窒化物層208の上に堆積される。ステップ212、214、及び216にそれぞれ示すように、造形部分210は、放射線感応性画像形成層202、反射防止材204、及び基板206にエッチングされる。
図2のステップ212において、造形部分210は、放射線感応性画像形成層202にエッチングされる。以下に詳細に説明されるように、放射線感応性画像形成層202に造形部分210をエッチングする際に、造形部分210の微小寸法は変わる場合もあり、変わらない場合もある。例えば、図2のステップ212における造形部分210の微小寸法は不変である。
以下でさらに説明されるように、層間のエッチング選択性を望ましいレベルにするために、放射線感応性画像形成層202は、該放射線感応性画像形成層202の上に堆積される反射防止材204とは異なる組成を有するべきである。放射線感応性画像形成層202は、これらに限定されるものではないが、スピン塗布堆積法及び/またはプラズマ助長化学気相堆積法(PECVD)などの、どの従来の堆積技術を用いて堆積されてもよい。
造形部分210は、リソグラフィ技術によって半導体デバイスに設けられるどんな構造を有してもよい。例示的な構造は、これらに限定されるものではないが、コンタクト・ホール、バイア・パターン、線、スペース、楕円、及び、前述の造形部分の少なくとも1つを有する組合せを含む。図3及び図4の説明と関連して以下に詳細に説明されるように、例示的な造形部分210はコンタクト・ホールからなる。
ここで開示されるように、造形部分210の微小寸法が縮小される。例えば、造形部分210がコンタクト・ホールからなるときには、この技術を用いてコンタクト・ホールの直径を縮小することができる。造形部分210が線とスペースからなるときには、この技術を用いて線間の間隔を縮小することができる。
図2のステップ214において、造形部分210が、基板206の上に堆積された反射防止材204にエッチングされる。反射防止材204は、1つまたはそれ以上の無機部分を含む。例示的な実施形態において、反射防止材204はM:C:H:Xという構造式を持つ。記号「M」は、これらに限定されるものではないが、シリコン(Si)、チタン(Ti)、ゲルマニウム(Ge)、鉄(Fe)、ホウ素(B)、スズ(Sn)、及び、前述の金属成分の少なくとも1つを有する組合せなどの、金属成分を表す。記号「C」は、炭素成分を表す。記号「H」は、水素成分を表す。記号「X」は、これらに限定されるものではないが、酸素(O)、水素、窒素(N)、及び、前述の無機成分の少なくとも1つを有する組合せなどの、無機成分を表す。例示的な実施形態において、反射防止材204は、Si:C:H:Oという構造式を有する。
反射防止材204は、どの従来の堆積技術によって基板206の上に堆積されてもよい。例示的な実施形態において、反射防止材204は、スピン塗布処理を用いて基板206の上に堆積される。例えば、反射防止材204がシリコンを含むときには、反射防止材204はスピン塗布処理によって基板206の上に堆積されることができる。別の例示的な実施形態において、反射防止材204は、PECVDを用いて基板206の上に堆積される。例えば、反射防止材204は、Si、C、O、及びHを含む調整可能な耐エッチング性反射防止(TERA)膜からなることがある。反射防止材204がTERA膜からなるときには、反射防止材204はPECVDを用いて基板206の上に堆積することができる。PECVD膜は共形となる傾向がある。従って、反射防止材204は、共形に堆積された材料からなることがある。共形に堆積された材料は、それが加えられる表面の輪郭と一致する。反射防止材204が共形に堆積された材料からなるとき、典型的には反射防止材204はPECVDを用いて堆積される。
反射防止材204の厚さは、反射防止材の組成に依存する。例えば、反射防止材204がシリコンを含み、スピン塗布処理を用いて基板206の上に堆積されるときには、反射防止材204の厚さは約80ナノメートル、またはそれ以上となるであろう。例示的な実施形態において、反射防止材204は、反射防止能力を最大にするために、スピン塗布処理を用いて約80ナノメートル、約130ナノメートル、または、約190ナノメートルかそれ以上の厚さまで基板206の上に堆積される。
造形部分210の微小寸法は、反射防止材204のエッチング中に縮小される。反射防止材204のエッチング中に造形部分210の微小寸法を少なくともある程度縮小することは有益であり、これは、図1の説明と関連して前述したように、可能なら次のエッチング・ステップ、すなわち基板206のエッチング時にのみ造形部分210の微小寸法を完全に縮小しようとすると、造形部分の損傷という結果をもたらすことがあるからである。例えば、基板のエッチング時にのみ造形部分の微小寸法を縮小しようとすると、造形部分の過度なテーパにつながることがあり、銅めっきなどの際に、隣接する造形部分の電気ショートといった望ましくない結果を引き起こす。
反射防止材204のエッチングの際、造形部分210の微小寸法を縮小するために、プラズマ・エッチング技術を用いることができる。プラズマ・エッチング技術は、これらに限定されるものではないが、フッ化炭素ガス、アルゴンガス、酸素ガス、窒素ガス、及び、前述の気体の少なくとも1つを有する組合せなどの、気体から構成されるプラズマを含む。例示的な実施形態において、プラズマ重合化学エッチング(以下「プラズマ重合エッチング」と呼ぶ)を用いることができる。プラズマ重合エッチングは、エッチャント及びポリマー種としてC、C、またはCHF或いはこの両方などの少なくとも1種類のフッ化炭素ガスと、プラズマの状態を変えて重合反応を補助する窒素ガスと、重合反応を制御する酸素ガスと、アルゴンイオンの供給源であるアルゴンガスとを含み、アルゴンイオンは、過度な量の重合及び堆積を防ぐために形成されるフッ素ポリマー部分を除去するのに必要とされる。ここでの教示によると、例示的なプラズマ重合エッチングは、約300体積部のアルゴンガスと、約150体積部の窒素ガスと、約5体積部のCガスと、約5体積部の酸素ガスと、約5体積部のCHFガスとを含む。
プラズマ重合エッチングは、典型的には、エッチング中に造形部分210の壁に層状(以下「ポリマー層」と呼ぶ)にポリマー材料を堆積する。堆積されるポリマー層の全体の厚さは、依然としてプラズマ重合エッチャント種がポリマー層を通って拡散し反射防止材204をエッチングできるように、制御されるべきである。堆積されるポリマー層の厚さは、ポリマー材料の組成と、その特定の表面がイオンの打ち込みにさらされるか否かに依存する。例えば、造形部分210のエッチングにおいて、放射線感応性画像形成層202のエッチング中に堆積されるポリマー層は、反射防止材204のエッチング中に堆積されるポリマー層より厚い。例示的な実施形態において、放射線感応性画像形成層202のエッチング中に堆積されるポリマー層の全体の厚さは、一番厚いところで約4ナノメートルまでである。例えば、反射防止材204のエッチング中に堆積されるポリマー層の全体の厚さは、一番厚いところで約1から3ナノメートルの間である。プラズマ重合エッチングを用いることによって、反射防止材のエッチング中に約80ナノメートル分まで造形部分の微小寸法を縮小することができる。
図2のステップ214において、造形部分210の壁をテーパさせることによって、堆積されるポリマー層の造形部分210の微小寸法が縮小する。しかしながら、堆積されるポリマー層が造形部分の微小寸法を縮小する限り、造形部分210の縮小、すなわち「狭小化」は、堆積されるポリマー層がそのように徐々にテーパした形状を形成することを必要としない。
図2のステップ216において、造形部分210は基板206にエッチングされる。基板206は窒化物層208の上に堆積される。窒化物層208はエッチング・プロセスの停止層として働く。窒化物層208は窒化シリコン(Si)からなる。基板206は、これらに限定されるものではないが、フルオロケイ酸塩ガラス、ホウケイ酸塩ガラス、ホウリンケイ酸塩ガラス、及び、前述の酸化物材料の少なくとも1つを有する組合せなどの、酸化物材料を含む誘電体材料からなることがある。さらに、基板206は低誘電率誘電体材料からなる。適正な低誘電率誘電体材料は、これらに限定されるものではないが、Novellusの商標であるCORAL、Dow Chemicalの商標であるSiLK、Honeywellの商標であるFLARE、及び、前述の低誘電率誘電体材料の少なくとも1つを有する組合せを含む。
基板206は、どの従来の堆積技術を用いて窒化物層208に堆積されてもよい。例えば、基板206がCORALからなるときには、基板206はPECVDによって堆積されてもよい。さらに、基板206がSiLKまたはFLAREあるいはこの両方からなるときには、基板206はスピン塗布処理を用いて堆積されることができる。
造形部分210の微小寸法は、基板206のエッチング中にさらに縮小することができる。プラズマ重合エッチングを、反射防止材204の造形部分210の微小寸法を縮小するために用いられたのと同様の方法で基板206の造形部分210の微小寸法を縮小するためにも用いることができる。用語「Litho」と付随の両矢印が、リソグラフィの際に形成される造形部分210の微小寸法を示すために用いられ、用語「Etch」と付随の両矢印が、エッチング・プロセスの際に形成される造形部分210の微小寸法を示すために用いられている。
前述したように、2つの層の間のエッチング選択性を向上させるために、放射線感応性画像形成層202は、反射防止材204とは異なる組成を有することが好ましい。例示的な実施形態において、放射線感応性画像形成層202は有機部分を含む。すなわち、放射線感応性画像形成層202は、主にC、H、O、及び、場合によってはフッ素(F)を含む。放射線感応性画像形成層は、Si原子のような、どの金属原子も含まない。
前述したように、ここで述べる教示は、例えば、微小寸法が変更される度合いを制御するなどして、造形部分の微小寸法を調整するために用いることができる。造形部分の微小寸法を調整するための1つの例示的な技術は、反射防止材に存在する無機部分の量を変化させることによるものである。すなわち、反射防止材の無機物含有量が多ければ多いほど、微小寸法の縮小は大きくなるであろう。例えば、ここで述べる反射防止材は、約4原子パーセントまでのSiを含むことができる。しかしながら、Siの量を増やすことによって、造形部分の微小寸法をさらに縮小することができる。例示的な実施形態において、反射防止材は約10原子パーセントまでのSiを含む。さらに進んだ例示的な実施形態において、反射防止材は約20原子パーセントまでのSiを含む。
造形部分の微小寸法を調整するための別の例示的な技術は、反射防止材のエッチングのために用いられるプラズマ重合エッチングの組成を変えることによるものである。前述したように、反射防止材のエッチングのための例示的なプラズマ重合エッチングは、約300体積部のアルゴンガスと、約150体積部の窒素ガスと、約5体積部のCガスと、約5体積部の酸素ガスと、約5体積部のCHFガスを含む。その組成を変えて、含まれる気体比率を変えることができる。例えば、Cを約6体積部まで増やし、酸素ガスを約4体積部まで減らすことで、造形部分の微小寸法をさらに縮小することができる。
造形部分の微小寸法を調整するために、プラズマ重合エッチングにおける流量もまた変えることができる。すなわち、窒素ガスの流量は、通常、フッ化炭素ガスや酸素ガスの流量よりはるかに多い。上記で強調した、例示的なプラズマ重合エッチングの流量は、毎分約50立方センチメートル(sccm)であるが、窒素ガスの含有量を変えると、流量を約300sccmまで増加させることができる。
図3は、ここに示す技術に基づく、半導体デバイスにおける造形部分のエッチングを示す。図3に示すように、半導体デバイス300は、反射防止材204の上に堆積された放射線感応性画像形成層202を含む。反射防止材204は基板206の上に堆積される。造形部分210は、放射線感応性画像形成層202、反射防止材204、及び基板206にエッチングされる。
具体的には、図3は、シリコンを含有する反射防止材を用いたコンタクト・ホール・造形部分の進行性パターン形成を示す一連の画像である。図3のステップ302において、造形部分210、すなわちコンタクト・ホールが放射線感応性画像形成層202にエッチングされる。放射線感応性画像形成層202のエッチング中に、造形部分210の微小寸法が変更されることはない。放射線感応性画像形成層202は反射防止材204の上に形成される。
図3のステップ304において、造形部分210はさらに反射防止材204にエッチングされる。反射防止材204をテーパさせることによって、造形部分210の微小寸法が縮小される。図3のステップ306において、造形部分210はさらに基板206にエッチングされる。基板206をテーパさせることによって、造形部分210の微小寸法がさらに縮小される。
同様に、図4もまた、ここで示す技術に基づく、半導体デバイスにおける造形部分のエッチングを示す。図4に示すように、半導体デバイス400は、反射防止材204の上に堆積された放射線感応性画像形成層202を含む。反射防止材204は基板206の上に堆積される。造形部分210は放射線感応性画像形成層202、反射防止材204、及び基板206にエッチングされる。
具体的には、図4は、調整可能な耐エッチング性反射防止材(TERA)を用いたコンタクト・ホール・造形部分の進行性パターン形成を示す一連の画像である。図4のステップ402において、造形部分210は放射線感応性画像形成層202にエッチングされる。放射線感応性画像形成層202をエッチングする間に、造形部分210の微小寸法が変更されることはない。すなわち、コンタクト・ホールの直径は、放射線感応性画像形成層202全体にわたって約140ナノメートルである。図4のステップ404において、造形部分210はさらに反射防止材204及び基板206にエッチングされる。反射防止材204をエッチングする間、及び基板206をエッチングする間に、造形部分210の微小寸法が約40ナノメートル縮小される。
従って、ここで述べる本発明の技術は、結果的に改良されたリソグラフィ構造体をもたらす。リソグラフィ構造体は、基板と、基板の上の反射防止材と、反射防止材の上の放射線感応性画像形成層とを含むことができる。反射防止材はパターン形成された造形部分を有する。その造形部分は縮小された微小寸法を有する。さらに、基板はパターン形成された造形部分を有することができる。その造形部分もまた縮小された微小寸法を有することができる。
これまで本発明の実例となる実施形態を説明してきたが、本発明はそれらの厳密な実施形態に限定されるものではないこと、そして、当業者であれば本発明の範囲または精神から離れることなく様々な他の変更や修正を行うことが可能であることを理解すべきである。
従来技術を用いてエッチングされる、縮小された微小寸法を持つ造形部分を有する半導体デバイスを示す図である。 本発明の実施形態に係る、半導体デバイスの造形部分の微小寸法を縮小するための例示的な技術を示す図である。 本発明の実施形態に係る、シリコン含有反射防止材を用いたコンタクト・ホール・造形部分の進行性パターン形成を示す一連の画像である。 本発明の実施形態に係る、調整可能な耐エッチング性の反射防止材(TERA)を用いたコンタクト・ホール・造形部分の進行性パターン形成を示す一連の画像である。

Claims (27)

  1. 半導体デバイスに1つまたはそれ以上の造形部分をパターン形成する方法であって、反射防止材のエッチング中に、1つまたはそれ以上の造形部分の少なくとも1つの微小寸法を縮小するステップを含む方法。
  2. 前記反射防止材のエッチングが、プラズマによるポリマーの堆積を伴う、請求項1に記載の方法。
  3. 前記反射防止材が、1つまたはそれ以上の無機部分を含む、請求項1に記載の方法。
  4. 前記反射防止材は、Mが金属、Xが無機成分からなるものとして、M:炭素:水素:Xという構造式を持つ、請求項1に記載の方法。
  5. 前記Mが、シリコン、チタン、ゲルマニウム、鉄、ホウ素、スズ、及び、前記金属の少なくとも1つを有する組合せにより構成される群から選択された金属からなる、請求項4に記載の方法。
  6. 前記Xが、酸素、水素、窒素、及び、前記無機成分の少なくとも1つを有する組合せにより構成される群から選択された無機成分を含む、請求項4に記載の方法。
  7. 前記反射防止材が、シリコン:炭素:水素:酸素という構造式を持つ、請求項1に記載の方法。
  8. 前記反射防止材が、調整可能な耐エッチング性反射防止膜を含む、請求項1に記載の方法。
  9. 前記反射防止材がスピン塗布処理を用いて基板の上に堆積される、請求項1に記載の方法。
  10. 前記反射防止材がプラズマ助長化学気相成長法を用いて基板の上に堆積される、請求項1に記載の方法。
  11. 1つまたはそれ以上の前記無機部分の量を変えることにより、1つまたはそれ以上の前記造形部分の所望の縮小された微小寸法が得られるようにする、請求項3に記載の方法。
  12. 前記ポリマーの堆積が、1つまたはそれ以上のポリマー層の堆積を含む、請求項2に記載の方法。
  13. 1つまたはそれ以上の前記各造形部分が、コンタクト・ホール、バイア・パターン、線、スペース、楕円、及び、前記造形部分の少なくとも1つを有する組合せにより構成される群から選択された造形部分からなる、請求項1に記載の方法。
  14. 特定の前記造形部分のいずれについても、造形部分の微小寸法が約50ナノメートルまで縮小される、請求項1に記載の方法。
  15. 特定の前記造形部分のいずれについても、造形部分の微小寸法が約80ナノメートルまで縮小される、請求項1に記載の方法。
  16. 少なくとも1種類のフッ化炭素ガスと、
    アルゴンガスと、
    酸素ガスと、
    窒素ガスと、
    を含むプラズマ・エッチングを用いて前記反射防止材がエッチングされる、請求項1に記載の方法。
  17. フッ化炭素ガス、アルゴンガス、酸素ガス、及び窒素ガスのうちの1つまたはそれ以上の量を変えることにより、1つまたはそれ以上の前記造形部分の所望の縮小された微小寸法が得られるようにする、請求項16に記載の方法。
  18. 前記ポリマーの堆積が、約10ナノメートルから約500ナノメートルまでの1つまたはそれ以上のポリマー層の堆積を含む、請求項2に記載の方法。
  19. 前記反射防止材の上に放射線感応性画像形成層を形成するステップをさらに含み、前記放射線感応性画像形成層が前記反射防止材とは異なる組成を持つ、請求項1に記載の方法。
  20. 前記放射線感応性画像形成層が1つまたはそれ以上の有機部分を含む、請求項19に記載の方法。
  21. 基板の上に反射防止材を堆積するステップと、
    基板のエッチング中に、1つまたはそれ以上の造形部分の少なくとも1つの微小寸法を縮小するステップと、
    をさらに含む、請求項1に記載の方法。
  22. 前記反射防止材が、誘電体材料からなる基板の上に堆積される、請求項1に記載の方法。
  23. 前記反射防止材が、低誘電率誘電体材料からなる基板の上に堆積される、請求項1に記載の方法。
  24. フルオロケイ酸塩ガラス、ホウケイ酸塩ガラス、ホウリンケイ酸塩ガラス、及び、前記酸化物材料の少なくとも1つを有する組合せにより構成される群から選択された酸化物材料を含む基板の上に、反射防止材が堆積される、請求項1に記載の方法。
  25. リソグラフィ構造であって、パターン形成された造形部分を有する反射防止材を含み、造形部分が少なくとも1つの減少された微小寸法を有するリソグラフィ構造。
  26. 前記反射防止材が基板の上に堆積され、前記基板がパターン形成された造形部分を有し、前記造形部分が少なくとも1つの縮小された微小寸法を有する、請求項25に記載のリソグラフィ構造。
  27. 半導体デバイスに1つまたはそれ以上の造形部分をパターン形成する方法であって、反射防止材のエッチング中に、1つまたはそれ以上の造形部分の少なくとも1つまたはそれ以上の微小寸法を縮小するステップを含み、前記1つまたはそれ以上の微小寸法が、パターン形成の際に得られる1つまたはそれ以上の造形部分に特有の寸法からなるものである方法。
JP2006526058A 2003-09-12 2004-05-13 造形部分をパターン形成する方法 Expired - Fee Related JP4755592B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/661,041 US7030008B2 (en) 2003-09-12 2003-09-12 Techniques for patterning features in semiconductor devices
US10/661,041 2003-09-12
PCT/US2004/014903 WO2005036625A1 (en) 2003-09-12 2004-05-13 Techniques for patterning features in semiconductor devices

Publications (3)

Publication Number Publication Date
JP2007505492A true JP2007505492A (ja) 2007-03-08
JP2007505492A5 JP2007505492A5 (ja) 2007-06-28
JP4755592B2 JP4755592B2 (ja) 2011-08-24

Family

ID=34273788

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006526058A Expired - Fee Related JP4755592B2 (ja) 2003-09-12 2004-05-13 造形部分をパターン形成する方法

Country Status (7)

Country Link
US (3) US7030008B2 (ja)
EP (1) EP1665347A1 (ja)
JP (1) JP4755592B2 (ja)
KR (1) KR100810203B1 (ja)
CN (1) CN1849698B (ja)
TW (1) TWI345803B (ja)
WO (1) WO2005036625A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188403A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US20040013971A1 (en) * 2001-11-21 2004-01-22 Berger Larry L Antireflective layer for use in microlithography
KR100615583B1 (ko) * 2004-08-11 2006-08-25 삼성전자주식회사 노드 절연막 패턴에 구속된 상전이막 패턴을 갖는 피이.램의 형성방법들
DE102004052611A1 (de) 2004-10-29 2006-05-04 Infineon Technologies Ag Verfahren zur Herstellung einer mit einem Füllmaterial mindestens teilweise gefüllten Öffnung, Verfahren zur Herstellung einer Speicherzelle und Speicherzelle
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
US8026180B2 (en) * 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8409457B2 (en) * 2008-08-29 2013-04-02 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
WO2010078306A2 (en) 2008-12-30 2010-07-08 3M Innovative Properties Company Method for making nanostructured surfaces
WO2010123528A2 (en) * 2008-12-30 2010-10-28 3M Innovative Properties Company Nanostructured articles and methods of making nanostructured articles
WO2010078071A1 (en) 2008-12-30 2010-07-08 3M Innovative Properties Company Antireflective articles and methods of making the same
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8323871B2 (en) * 2010-02-24 2012-12-04 International Business Machines Corporation Antireflective hardmask composition and a method of preparing a patterned material using same
CN102222640B (zh) * 2010-04-16 2013-08-14 中芯国际集成电路制造(上海)有限公司 通孔形成方法
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8232198B2 (en) 2010-08-05 2012-07-31 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9159581B2 (en) 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer
US9153455B2 (en) * 2013-06-19 2015-10-06 Micron Technology, Inc. Methods of forming semiconductor device structures, memory cells, and arrays
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US11398377B2 (en) * 2020-01-14 2022-07-26 International Business Machines Corporation Bilayer hardmask for direct print lithography

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590217A (ja) * 1991-09-27 1993-04-09 Toshiba Corp ドライエツチング方法
JPH0941161A (ja) * 1995-07-26 1997-02-10 Dainippon Printing Co Ltd エッチングを用いた加工方法
JP2000164701A (ja) * 1998-11-25 2000-06-16 Mitsubishi Electric Corp 半導体装置の製造方法
JP2001242630A (ja) * 2000-01-10 2001-09-07 Internatl Business Mach Corp <Ibm> リソグラフィ構造
JP2003209037A (ja) * 2002-01-11 2003-07-25 Sony Corp アライメントマーク及び半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4814406A (en) 1986-02-28 1989-03-21 Katayama Chemical Works Ltd. Scale inhibitor
EP0263220B1 (en) * 1986-10-08 1992-09-09 International Business Machines Corporation Method of forming a via-having a desired slope in a photoresist masked composite insulating layer
KR100256137B1 (ko) 1996-03-26 2000-05-15 아사무라 타카싯 반도체장치및그제조방법
US5753418A (en) * 1996-09-03 1998-05-19 Taiwan Semiconductor Manufacturing Company Ltd 0.3 Micron aperture width patterning process
US5854503A (en) * 1996-11-19 1998-12-29 Integrated Device Technology, Inc. Maximization of low dielectric constant material between interconnect traces of a semiconductor circuit
KR100280622B1 (ko) * 1998-04-02 2001-03-02 윤종용 반도체 장치의 콘택 형성 방법
US6009888A (en) * 1998-05-07 2000-01-04 Chartered Semiconductor Manufacturing Company, Ltd. Photoresist and polymer removal by UV laser aqueous oxidant
DE19844102C2 (de) * 1998-09-25 2000-07-20 Siemens Ag Herstellverfahren für eine Halbleiterstruktur
US6159863A (en) * 1999-01-22 2000-12-12 Advanced Micro Devices, Inc. Insitu hardmask and metal etch in a single etcher
US6514867B1 (en) * 2001-03-26 2003-02-04 Advanced Micro Devices, Inc. Method of creating narrow trench lines using hard mask
US6828259B2 (en) * 2001-03-28 2004-12-07 Advanced Micro Devices, Inc. Enhanced transistor gate using E-beam radiation
US6387798B1 (en) * 2001-06-25 2002-05-14 Institute Of Microelectronics Method of etching trenches for metallization of integrated circuit devices with a narrower width than the design mask profile
KR100415088B1 (ko) * 2001-10-15 2004-01-13 주식회사 하이닉스반도체 반도체장치의 제조방법
TW550695B (en) 2002-02-26 2003-09-01 Taiwan Semiconductor Mfg Method to remove bottom anti-reflection coating layer
US6743712B2 (en) * 2002-07-12 2004-06-01 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6774032B1 (en) * 2003-05-30 2004-08-10 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US6765254B1 (en) * 2003-06-12 2004-07-20 Advanced Micro Devices, Inc. Structure and method for preventing UV radiation damage and increasing data retention in memory cells

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590217A (ja) * 1991-09-27 1993-04-09 Toshiba Corp ドライエツチング方法
JPH0941161A (ja) * 1995-07-26 1997-02-10 Dainippon Printing Co Ltd エッチングを用いた加工方法
JP2000164701A (ja) * 1998-11-25 2000-06-16 Mitsubishi Electric Corp 半導体装置の製造方法
JP2001242630A (ja) * 2000-01-10 2001-09-07 Internatl Business Mach Corp <Ibm> リソグラフィ構造
JP2003209037A (ja) * 2002-01-11 2003-07-25 Sony Corp アライメントマーク及び半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188403A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
KR101569938B1 (ko) 2008-02-01 2015-11-18 도쿄엘렉트론가부시키가이샤 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법

Also Published As

Publication number Publication date
US7030008B2 (en) 2006-04-18
JP4755592B2 (ja) 2011-08-24
KR20060064650A (ko) 2006-06-13
EP1665347A1 (en) 2006-06-07
US7545041B2 (en) 2009-06-09
WO2005036625A1 (en) 2005-04-21
US20060118785A1 (en) 2006-06-08
KR100810203B1 (ko) 2008-03-07
TWI345803B (en) 2011-07-21
US20050056823A1 (en) 2005-03-17
TW200523998A (en) 2005-07-16
CN1849698A (zh) 2006-10-18
CN1849698B (zh) 2012-07-11
US20080187731A1 (en) 2008-08-07

Similar Documents

Publication Publication Date Title
JP4755592B2 (ja) 造形部分をパターン形成する方法
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US6835663B2 (en) Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US5710067A (en) Silicon oxime film
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
KR102562862B1 (ko) 에칭 중의 로우-k 트렌치 보호용 원자층 성막
WO2022100070A1 (zh) 光刻胶的处理方法及自对准双图案化方法
KR100415088B1 (ko) 반도체장치의 제조방법
WO2019241012A1 (en) Conformal carbon film deposition
KR102073050B1 (ko) 구리 박막의 건식 식각방법
US5670297A (en) Process for the formation of a metal pattern
KR20020013771A (ko) 유기규산염층의 증착 방법
US6913868B2 (en) Conductive bi-layer e-beam resist with amorphous carbon
GB2320613A (en) Interconnect fabrication
JP2007027291A (ja) 半導体装置およびその製造方法
JPH11194499A (ja) 半導体装置の製造方法
WO2006019849A1 (en) Low-k dielectric etch
US20220148879A1 (en) Method for treating photoresist and self-aligned double patterning method
TW201101394A (en) Method of etching a multi-layer
KR20180071118A (ko) SiOCN막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
TW495908B (en) Forming method of dual damascene pattern for preventing photoresist residual from causing via hole to become blind hole
US20090291561A1 (en) Method of forming pattern
CN115023795A (zh) 合金膜蚀刻
KR20240002570A (ko) 하드마스크의 제조방법 및 이를 이용한 반도체 소자의 제조방법
KR20010076553A (ko) 반도체 소자의 비트라인 형성 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070509

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110517

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110527

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140603

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees