KR102562862B1 - 에칭 중의 로우-k 트렌치 보호용 원자층 성막 - Google Patents

에칭 중의 로우-k 트렌치 보호용 원자층 성막 Download PDF

Info

Publication number
KR102562862B1
KR102562862B1 KR1020190059575A KR20190059575A KR102562862B1 KR 102562862 B1 KR102562862 B1 KR 102562862B1 KR 1020190059575 A KR1020190059575 A KR 1020190059575A KR 20190059575 A KR20190059575 A KR 20190059575A KR 102562862 B1 KR102562862 B1 KR 102562862B1
Authority
KR
South Korea
Prior art keywords
layer
low
sidewall
dielectric layer
etching
Prior art date
Application number
KR1020190059575A
Other languages
English (en)
Other versions
KR20190132950A (ko
Inventor
옌-티엔 루
데이비드 오메라
안젤리크 레일리
싱후아 선
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190132950A publication Critical patent/KR20190132950A/ko
Application granted granted Critical
Publication of KR102562862B1 publication Critical patent/KR102562862B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

원자층 성막(ALD; atomic layer deposition) 기술을 이용하여 트렌치 에칭 공정의 일부로서 로우-k 유전체 트렌치의 측벽들 및 하드 마스크층들 상에 1층 이상의 층을 성막한다. ALD 층(들)은 다양한 하드 마스크 개방 공정 중에 하드 마스크가 부식되는 것을 방지할 수 있다. 또한, ALD 층(들)을 이용하여 로우-k 유전체트렌치 에칭 중에 로우-k 유전체 측벽이 측방향으로 에칭되는 것을 방지할 수 있다. 이에 따라, 트렌치 프로파일을 양호하게 제어할 수 있고, 임계 치수를 양호하게 제어할 수 있다.

Description

에칭 중의 로우-K 트렌치 보호용 원자층 성막{ATOMIC LAYER DEPOSITION FOR LOW-K TRENCH PROTECTION DURING ETCH}
관련 출원의 상호 참조
본원은 2018년 5월 21일 출원되고 발명의 명칭이 "Atomic Layer Deposition For Low-K Trench Protection During Etch"인 미국 가출원 번호 62/674,092와, 2018년 6월 7일 출원되고 발명의 명칭이 "Atomic Layer Deposition For Low-K Trench Protection During Etch"인 미국 가출원 번호 62/681,699를 우선권으로 주장하며, 이들 출원의 개시 내용은 전체적으로 본 명세서에 명시적으로 참조로 인용된다.
본 발명은 기판의 가공에 관한 것이다. 특히, 본 발명은 기판에 트렌치를 형성하는 방법을 제공한다.
기판에 트렌치를 형성하는 것은 오랜 기간 공지되어 있다. 예컨대, 반도체 웨이퍼를 가공하는 것은, 트렌치를 형성하는 것을 종종 포함한다. 일 실시형태에서, 기판의 저유전율(로우-k) 재료에 트렌치를 형성한다. 반도체 웨이퍼와 관련하여, BEOL(back end of line) 처리 중에 로우-k 재료에 트렌치를 형성하는 것이 통상적으로 사용되고 있다. 이러한 트렌치의 한 가지 용도는 기판 내의 금속 인터커넥트 라인 사이에 비아를 형성하기 위한 것이다. 한정의 의도는 없이, 도핑된 이산화규소(불소, 탄소 및 기판 도펀트), 스핀-온 폴리머(유기 및 실리콘계 폴리머를 포함), 다공질 산화물 등을 포함하는 광범위한 로우-k 재료를 사용할 수 있으며, 이들 모두는 당업계에 공지되어 있는 것이다. 이러한 로우-k 재료는 이산화규소보다 낮은 유전율을 제공한다. 본 명세서에서 사용되고 있듯이, "로우-k"는 "울트라-로우-k" 재료로 고려될 수 있는 재료를 포함한다. 이에 따라, "로우-k"는 로우-k 또는 저유전율 재료를 포함한다.
기판 상에 형성된 피처의 임계 치수(CD)가 계속해서 축소됨에 따라, 트렌치의 에칭은 점점 더 어려워지고 있다. 종래의 공정 플로우는, 트렌치가 유리한 로우-k 재료 위에 하드 마스크를 형성하기 위하여 포토리소그래피 공정을 사용하는 것을 포함한다. 하나의 예시적인 구조체(100)가 도 1에 도시되어 있다. 도 1에 도시된 바와 같이, 유전체 층(110)에 금속배선 층(105)이 형성되어 있다. 도시된 바와 같이, BLOK 층(115)으로 표시하는 바와 같은 배리어 로우-k(BLOK) 재료가 제공된다. BLOK 층은 배리어 및 에칭 정지 층으로서 동작하는 것으로 업계에 알려져 있다. BLOK 재료는 질화규소와 같은 다른 배리어 및 에칭 정지 층보다 낮은 유전율을 제공한다. BLOK 층(115) 위에는, 로우-k 유전체 층(120; 궁극적으로 내부에 트렌치가 형성됨)이 제공된다. 로우-k 유전체 층(120) 위에는, 복수의 패턴화된 층/하드 마스크 층이 제공될 수 있다. 예컨대, 도 1의 실시형태에서는, 상부 하드 마스크 층(135)과 금속 하드 마스크 층(130)의 패턴화된 스택이 제공된다. 예컨대, 일 실시형태에서, 상부 하드 마스크 층(135)은 산화실리콘(SiO2) 또는 질화실리콘(SiN)일 수 있고, 금속 하드 마스크 층(130)은 질화티탄(TiN)일 수 있다. 도 1에 도시된 바와 같이, 상부 하드 마스크 층(135)과 금속 하드 마스크 층(130)은 패턴화된 피처를 형성하도록 이미 패턴화되어 있다. 이러한 패턴화는 광범위한 리소그래피 기술 중 하나에 의해 달성될 수 있으며, 이러한 기술은 모두 당업계에 널리 알려져 있다. 금속 하드 마스크 아래에 있는 하부 하드 마스크 층(125)은 패턴화된 피처 아래에 위치하도록 도 1에 도시된 바와 같이 또한 제공될 수 있다. 일 실시형태에서, 하부 하드 마스크 층(125)은 SiN 하드 마스크일 수 있다.
종래의 가공에 있어서는, 잘 알려져 있는 바와 같이, 하부 하드 마스크 층(125)을 에칭하는 데에 플라즈마 공정을 이용할 수 있다. 그러나 하부 하드 마스크 층(125)의 에칭 중에 금속 하드 마스크 층(130)이 부식될 수도 있다. 이러한 부식은 원하는 임계 치수보다 더 크게 될 수도 있다. 이러한 예가 도 2에 도시되어 있는데, 도 2에서는, 부식 위치(205)가 금속 하드 마스크 층(130)의 부식을 나타내고 있다.
또한, 종래의 공정(다시, 플라즈마 공정)의 트렌치 에칭 중에, 로우-k 유전체 층(120)을 에칭하는 데에 이용되는 로우-k 에칭 공정을 통하여, 도 3에 도시된 바와 같이, 실질적으로 수직으로 스트레이트하지 않고, 오히려 구부러짐(bowing)과 같은 원치 않는 특징을 나타내는 측벽의 형성을 초래할 수 있다. 이러한 형상은, 로우-k 유전체 층(120)의 측방향 에칭으로부터 초래될 수 있다. 이러한 측벽은 임계 치수가 축소될 때에 특히 문제가 된다. 또한, 에칭 후에 남겨지는 로우-k 재료의 얇은 라인(thin line)으로 인하여, 원치 않는 라인 위글(line wiggle)이 초래될 수 있다. 또한, 로우-k 재료의 측벽은 원치 않는 손상을 나타낼 수 있다. 일례로서, 트렌치가 금속배선 층(15)과 정렬되어 금속배선 층(105)과 전기적으로 접속되도록 트렌치 에칭을 이용하고 있다.
이러한 문제를 해결하기 위한 한 가지 시도는, 대량의 폴리머를 생성하는 하드 마스크 및 로우-k 에칭 공정을 이용하는 것이다. 이러한 폴리머 형성 공정은, 측벽의 손상 및 구부러짐 프로파일(bowing profile)을 해결하는데 도움이 될 수 있다. 그러나 그러한 폴리머의 생성은 통상적으로 로우-k 플라즈마 에칭의 에칭 속도를 감속시켜, 기판 스루풋에 부정적 영향을 미친다.
일 실시형태에서, 전술한 문제 중 적어도 일부를 해결하는 개선된 트렌치 형성 공정을 제공하는 것이 바람직하다. 다른 실시형태에서, 로우-k 재료에 트렌치를 형성하는 개선된 공정을 제공하는 것이 바람직하다.
일 실시형태에서, 원자층 성막(ALD) 기술은, 트렌치 에칭 공정의 일부로서 하드 마스크 층 및 로우-k 유전체 트렌치의 측벽 상에 하나 이상의 층을 성막하는데 이용된다. ALD 층(들)은 다양한 하드 마스크 오픈 공정 중에 하드 마스크 층(들)이 부식되는 것을 방지할 수 있다. 또한, ALD 층(들)을 활용하여 로우-k 유전체 트렌치 에칭 중에 로우-k 유전체 측벽이 측방향으로 에칭되는 것을 방지할 수 있다. 이에 따라, 트렌치 프로파일을 보다 양호하게 제어할 수 있고, 임계 치수를 보다 양호하게 제어할 수 있다. 일 실시형태에서, ALD 층(들)은 SiO2 또는 SiN 희생층일 수 있다. 일 실시형태에서, 부식 제어가 제공되는 하드 마스크는 금속 하드마스크이다. 일 실시형태에서, 금속 하드 마스크는, 일 실시형태에서 SiN 하드 마스크일 수 있는 하부의 하드 마스크의 에칭 중에 부식 제어를 제공한다.
일 실시형태에서, 트렌치를 형성하도록 기판을 에칭하는 방법을 설명한다. 방법은, 기판 상에 제1 패턴화된 피처를 제공하는 단계와, 기판 상에 로우-k 유전체 층을 제공하는 단계로서, 로우-k 유전체 층은 제1 패턴화된 피처 아래에 있는 것인 단계를 포함할 수 있다. 방법은, 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 로우-k 유전체 층의 제1 부분을 에칭하는 단계를 더 포함할 수 있다. 로우-k 유전체 층의 제1 부분을 에칭하는 단계 후에, 방법은, 로우-k 유전체 층의 노출된 측벽 표면 상에 제1 측벽 층을 형성하는 단계로서, 제1 원자층 성막 공정에 의해 실행되는 것인 단계를 포함할 수 있다. 로우-k 유전체 층의 노출된 측벽 표면 상에 제1 측벽 층을 형성하는 단계 후에, 방법은, 트렌치 패턴에 따라 로우-k 유전체 층의 제2 에칭을 실행하는 단계로서, 제1 측벽 층은 로우-k 유전체 층의 제2 에칭 중에 로우-k 유전체 층의 측벽을 보호하는 것인 단계를 포함할 수 있다.
다른 실시형태에서, 트렌치를 형성하도록 기판을 에칭하는 다른 방법을 설명한다. 방법은, 기판 상에 제1 패턴화된 피처를 제공하는 단계와, 기판 상에 로우-k 유전체 층을 제공하는 단계로서, 로우-k 유전체 층은 제1 패턴화된 피처 아래에 있는 것인 단계와, 제1 패턴화된 피처의 적어도 측벽 상에 초기 측벽 층을 제공하는 단계를 포함할 수 있다. 제1 패턴화된 피처의 적어도 측벽 상에 초기 측벽 층을 제공하는 단계 후에, 방법은, 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 로우-k 유전체 층의 제1 부분을 에칭하는 단계를 포함할 수 있다. 로우-k 유전체 층의 제1 부분을 에칭하는 단계 후에, 방법은, 원자층 성막 공정에 의해 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하고, 이어서 트렌치가 로우-k 유전체 층에 형성될 때까지 로우-k 유전체 층을 추가로 에칭하는 순환 공정(cyclic process)을 실행하는 단계로서, 노출된 측벽 표면 상에 측벽 층을 형성하는 단계는 로우-k 유전체 층의 추가 에칭 중에 로우-k 유전체 층의 측벽을 보호하는 것인 단계를 포함한다.
또 다른 실시형태에서, 트렌치를 형성하도록 기판을 에칭하는 방법을 설명한다. 방법은, 기판 상에 하드 마스크 층을 제공하는 단계와, 기판 상에 제1 패턴화된 피처를 제공하는 단계로서, 제1 패턴화된 피처는 하드 마스크 층 위에 위치하는 것인 단계를 포함한다. 방법은, 기판 상에 로우-k 유전체 층을 제공하는 단계로서, 로우-k 유전체 층은 하드 마스크 층 아래에 위치하는 것인 단계를 더 포함한다. 방법은, 제1 원자층 성막 공정을 매개로 제1 패턴화된 피처의 적어도 측벽 상에 제1 측벽 층을 제공하는 단계를 또한 포함한다. 제1 패턴화된 피처의 적어도 측벽 상에 제1 측벽 층을 제공하는 단계 후에, 방법은, 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 하드 마스크 층을 에칭하는 단계로서, 하드 마스크 층을 에칭하는 단계는 하드 마스크 층에 트렌치 패턴을 모사하고, 하드 마스크 층의 측벽을 노출시키는 것인 단계를 포함한다. 방법은, 제2 원자층 성막 공정을 매개로 하드 마스크 층의 적어도 측벽 상에 제2 측벽 층을 형성하는 단계를 더 포함한다. 하드 마스크 층의 적어도 측벽 상에 제2 측벽 층을 제공하는 단계 후에, 방법은, 하드 마스크 층에 모사된 트렌치 패턴에 따라 로우-k 유전체 층의 일부를 에칭하는 단계를 포함한다. 로우-k 유전체 층의 일부를 에칭하는 단계 후에, 방법은, 추가의 원자층 성막 공정에 의해 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하고, 이어서 트렌치가 로우-k 유전체 층에 형성될 때까지 로우-k 유전체 층을 추가로 에칭하는 순환 공정을 실행하는 단계로서, 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하는 단계는 로우-k 유전체 층의 추가 에칭 중에 로우-k 유전체 층의 측벽을 보호하는 것인 단계를 포함한다.
동일 도면 부호가 동일 특징을 가리키고 있는 첨부 도면을 참고로 하는 이하의 상세한 설명을 참조함으로써 본 발명 및 그 이점을 보다 완전하게 이해할 수 있을 것이다. 그러나 첨부 도면이 단지 개시된 개념의 예시적인 실시형태를 나타내는 것이고, 이에 따라, 범위를 한정하는 것으로 고려되어서는 안 되며, 개시된 개념은 다른 등가의 유효한 실시형태를 인정하는 것에 유의해야 한다.
도 1은 종래기술의 트렌치 형성 공정의 일부로서 사용되는 기판 구조체를 도시하고,
도 2 및 도 3은 도 1의 구조체에 트렌치를 형성하는 종래기술의 가공 결과를 도시하고,
도 4는 도 1의 구조체에 제1 ALD 층을 적용한 것을 도시하고,
도 5는 하부 하드 마스크 층의 에칭 후의 도 4의 구조체를 도시하고,
도 6은 도 5의 구조체에 제2 ALD 층을 적용한 것을 도시하고,
도 7은 도 6의 구조체에 적용된 로우-k 유전체 에칭의 결과를 도시하고,
도 8은 도 7의 구조체에 제3 ALD 층을 적용한 것을 도시하고,
도 9는 도 8의 로우-k 유전체 층에 트렌치를 형성한 것을 도시하고, 그리고
도 10, 도 11 및 도 12는 본원 명세서에 개시된 기술을 활용하는 방법을 위한 예시적인 흐름도를 도시한다.
일 실시형태에서, 거의 수직의 측벽을 형성하는 에칭은, 트렌치 형성 공정 플로우에 포함되는 원자층 성막(ALD; atomic layer deposition) 공정을 사용하여 달성될 수 있다. ALD 공정은 당업계에 널리 알려져 있으며, 통상적으로 표면 상에 매우 얇은 재료 층을 형성하는 것을 포함한다. 알고 있는 바와 같이, 예시적인 ALD 공정(전부는 아니지만)은, 표면에서 성장된 층이 부착 분자의 원자 단층 표면 포화에 의해 제한되도록 자기 제한적(거의 자기 제한적) 방식으로 표면과 반응하는 하나 이상의 반응물을 이용한다. 통상적으로, 둘 이상의 반응물을 순차적으로 활용할 수 있으며, 표면이 자기 제한적 반응을 위해 하나의 반응물에 노출되고 나서 퍼지가 발생하고, 그 후에, 다른 자기 제한적 반응을 위해 다른 반응물에 노출되고 나서 다른 퍼지가 발생한다. 이러한 사이클은 원하는 재료 두께가 얻어질 때까지 반복될 수 있다. ALD 방법은 반복 가능한 원자 수준의 균일성 및 컨포멀러티(conformality)를 제공한다.
일 실시형태에서, 원자층 성막(ALD) 기술은, 트렌치 에칭 공정의 일부로서 하드 마스크 층 및 로우-k 유전체 트렌치의 측벽 상에 하나 이상의 층을 성막하는데 이용된다. ALD 층(들)은 다양한 하드 마스크 오픈 공정 중에 하드 마스크 층(들)이 부식되는 것을 방지할 수 있다. 또한, ALD 층(들)을 활용하여 로우-k 유전체 트렌치 에칭 중에 로우-k 유전체 측벽이 측방향으로 에칭되는 것을 방지할 수 있다. 이에 따라, 트렌치 프로파일을 보다 양호하게 제어할 수 있고, 임계 치수를 보다 양호하게 제어할 수 있다. 일 실시형태에서, ALD 층(들)은 SiO2 또는 SiN 희생층일 수 있다. 일 실시형태에서, 부식 제어가 제공되는 하드 마스크는 금속 하드마스크이다. 일 실시형태에서, 금속 하드 마스크는, 일 실시형태에서 SiN 하드 마스크일 수 있는 하부의 하드 마스크의 에칭 중에 부식 제어를 제공한다.
본 명세서에 개시되는 기술은 광범위한 기판 공정 플로우에 이용될 수 있다. 단지 예시적인 목적으로, 본 명세서에 개시되는 기술이 활용될 수 있는 기판의 재료의 하나의 예시적인 스택(stack)이 도 1의 구조체(100)와 관련하여 제공된다. 도 1의 구조체(100)는, 패턴화된 피처의 사용이 바람직한 어떤 기판의 일부일 수 있다. 예컨대, 일 실시형태에서, 기판은, 하나 이상의 반도체 처리 층이 형성되어 있는 반도체 기판일 수 있다. 다른 실시형태에서, 반도체 기판은 반도체 웨이퍼이다. 또 다른 실시형태에서, 기판은 다양한 구조 및 층을 생산하는 다수의 반도체 처리 스텝에서 처리될 수 있으며, 이들 모두는 반도체 처리 분야에서 알려진 것이다. 이에 따라, 기판이 도 1에 도시되어 있지 않은 많은 추가의 층 및 구조체를 포함할 수 있다는 것을 인식할 것이다. 예시적인 일 실시형태에서, 구조체(100)는 BEOL(back end of line) 가공 스텝의 일부로서 사용될 수 있다.
로우-k 트렌치 형성 공정에 ALD 공정을 조합하는 것을 다른 많은 공정 플로우와 함께 이용할 수 있으며, 도 1의 특정의 예가 단지 예시적이라는 것을 인식할 것이다. 전술한 바와 같이 기판 상에 패턴화된 피처를 제공하도록 도 1의 구조체를 형성한 후에, 도 4에 도시된 바와 같이, 초기 원자층 성막 공정을 이용하여 기판의 노출된 표면 위에 ALD 층(405)을 형성할 수 있다. 이에 따라, 패턴화된 피처의 측벽 층이 형성된다. 일 실시형태에서, ALD 층(405)은 SiO2 층일 수 있다. 다른 실시형태에서, ALD 층(405)은 SiN 층일 수 있다. 그러나 다른 ALD 재료가 사용될 수 있다는 것을 인식할 것이다. 일 실시형태에서, ALD 층(405)은 10 nm 미만의 범위의 두께를 가질 수 있으며, 일 실시형태에서는, 1 내지 4 nm의 두께를 가질 수 있다. ALD 층(405)은, 별도의 공정 툴에서 현장 밖에서(ex-situ) 형성될 수도 있고, 종래의 공정 스텝(예컨대, 금속 하드 마스크 층(130) 에칭 스텝)과 관련하여 사용되는 동일한 공정 툴에서 현장(in-situ)에서 형성되거나, 후속의 공정 스텝(예컨대, 하부 하드 마스크 층(125) 에칭 스텝)과 관련하여 사용되는 동일한 공정 툴에서 현장에서 형성될 수도 있고, 이들 양자에서 현장에서 형성될 수도 있다. 이에 따라, 일 실시형태에서, 하부 하드 마스크 층(125) 오픈 스텝 전에, ALD 층(405; 일례에서, 두께 2 내지 4 nm의 SiO2 또는 SiN)이 도 4에 도시된 바와 같이 기판 표면에 성막된다. 전술한 바와 같이, ALD 재료는 현장 밖에서 형성될 수도 있고, 현장에서 형성될 수도 있다.
ALD 층(405)이 형성된 후에, 도 5에 도시된 바와 같이, 하부 하드 마스크 층(125)이 에칭되고 오픈되어, 하드 마스크의 측벽을 노출시키고, 트렌치 패턴을 로우-k 유전체 층(120)에 전사하기 위해 하부 하드 마스크 층(125)에 트렌치 패턴을 모사한다. 도 5에 도시된 바와 같이, 하부 하드 마스크 층(125)의 개방의 일부로서, 상기 금속 하드 마스크 층(130) 위의 상부 하드 마스크 층(135; 본 예에서는, SiO2 또는 SiN 층)이 제거되거나 거의 전부 제거되어, ALD 층(405)이 된다. 그러나, 도 5에 도시된 바와 같이, 하부 하드 마스크 층(125)의 에칭 중에, 금속 하드 마스크 층(130)의 코너는 덜 부식되고, 그에 따라, 트렌치는 원래의 CD(critical dimension)로 양호하게 전사될 수 있다. 이러한 금속 하드 마스크의 부식 개선은, 금속 하드 마스크 층(130)의 측벽을 덮는 ALD 층(405)으로 인해 금속 하드 마스크 층(130)을 보호하는 ALD 층(405)으로부터 유도된다. ALD 층(405)은 희생되지만 금속 하드 마스크의 에칭 중에 다른 층의 측벽을 보호한다. 이에 따라, 보다 적은 금속 하드 마스크가 부식되고(일례로서, TiN 금속 하드 마스크의 부식이 적음), 트렌치 CD는 금속 하드 마스크가 변경되는 것에 기인하여 변경되지 않으므로, 본래의 패턴 하에서 개선된 모사 특징을 제공한다.
하부 하드 마스크 층(125)의 에칭 후에, 제2 원자층 성막 공정을 이용하여 제2 측벽 층으로서의 제2 ALD 층(605)을 형성할 수 있고, 제2 측벽 층은 도시된 바와 같이 측벽을 보호한다. 일례로서, 제2 ALD 층(605)은 SiO2 층일 수 있다. 다른 예에서, 제2 ALD 층(605)은 SiN 층일 수 있다. 그러나 다른 ALD 재료를 사용할 수 있다는 것을 인식할 것이다. 도 6은 하드 마스크 에칭 후의 제2 ALD 층(605)의 형성을 도시한다. 이에 따라, 도 6에 도시된 바와 같이, 제2 ALD 층(605)이 노출된 하드 마스크 층의 측벽 및 로우-k 유전체 층(120)의 노출된 표면 상에 형성된다.
이어서, 로우-k 유전체 층(120)은 더욱 에칭되어 트렌치 깊이를 증가시킨다. 제2 ALD 층(605)은 로우-k 유전체 층(120)이 측방향으로 부식되는 것을 방지한다. 이와 같이, 로우-k 유전체 층(120)의 측벽 상에 제2 ALD 층(605)을 사용함으로써, 트렌치의 구부러짐(bowing) 및 로우-k 유전체 층(120)의 측벽에 대한 손상을 방지한다. 에칭이 발생함에 따라, 제2 ALD 층(605)의 두께가 감소하고, 관련된 특정 공정 및 두께에 의존하여, 제2 ALD 층(605)이 완전히 제거되어, 보호 기능을 잃을 수 있다. 도 7은 제2 ALD 층(605)이 제거된 스테이지에서의 공정을 도시한다.
이 스테이지에서, 제3 원자층 성막 공정을 이용하여 기판의 노출된 표면 상에 제3 ALD 층(805)을 성막하여, 도 8에 도시된 바와 같이, 제3 측벽 층을 제공할 수 있으며, 제3 측벽 층은 도시된 바와 같이 측벽을 보호한다. 이와 같이, 도시된 바와 같이, 성막된 제3 ALD층은 노출된 로우-k 유전체 층 및 마스크 표면을 보호한다. 이어서, 로우-k 유전체 에칭이 다시 개시된다. 이러한 ALD/로우-k 유전체 에칭 사이클은, 트렌치 깊이가 목표에 도달할 때까지 복수 회 반복될 수 있고, 예컨대 제4, 제5 등의 로우-k 유전체 에칭을 이용할 수 있다. 로우-k 유전체 에칭 공정 중에는, 일반적으로 트렌치 바닥 및 측벽 상에 ALD 층이 있으므로, 트렌치 프로파일은 직선이거나 거의 직선일 수 있고, 이에 의해 구부러짐 프로파일(bowing profile)의 형성을 없앨 수 있다. 또한, ALD 층은 로우-k 유전체 층(120)의 과잉의 측방향 에칭을 방지할 수 있으므로, 로우-k 유전체 층(120)의 나머지 라인은 라인 위글링(line wiggling)의 발생을 방지하기에 충분한 두께를 갖는다. 이와 같이 하여, ALD 층의 형성 및 로우-k 유전체의 에칭 스텝의 순환 공정을 설명한다. 일 실시형태에서, 적어도 2 사이클의 ALD 형성 및 로우-k 유전체 에칭 스텝이 실행된다. 바람직한 일 실시형태에서, 이들 순환 스텝은, 별도의 처리 챔버에서 또는 동일한 처리 챔버에서 동일한 공정 툴에서 현장에서 수행된다. 궁극적으로, 로우-k 유전체 층은. 도 9에 도시된 바와 같이, BLOK 층(115)까지 완전히 에칭될 수 있다.
공정 플로우의 이 시점에서, BLOK 층(115)은 당업계에 알려진 표준 기술을 이용하여 하부의 금속 층을 노출시키도록 즉시 에칭될 수 있다. 대안으로, 전술한 기술과 유사하게, BLOK 에칭 중에 로우-k 층의 측벽을 보호하기 위하여 최후의 하나의 ALD 층을 성막할 수 있다. 이와 같이 하여, 로우-k 유전체 층의 측벽 상에 ALD 층(들)을 사용함으로써, 비아 트렌치 에칭 중에 형성되는 구부러짐(bowing)을 갖지 않는 로우-k 유전체 층을 관통하는 비아를 제공한다. 이에 따라, 트렌치의 형성 중에 ALD 기술을 사용함으로써, 종래의 기술에 비하여 더욱 신뢰성 있는 트렌치 구조를 제공한다.
이와 같이 하여, ALD 층을 사용하여 로우-k 유전체 재료에 양호하게 제어 가능한 트렌치를 형성할 수 있는 트렌치 형성 기술이 제공된다. 이용되는 특정의 ALD 공정은 당업계에 알려진 다양한 ALD 공정 중 하나일 수 있다. 이 공정을 표준의 플라즈마 에칭 공정에 통합하여, 필요에 따라, 에칭 공정에 의해 ALD 층을 현장에서 형성할 수 있다. 이 공정은 다양한 공정 툴과 함께 이용될 수 있고, 에칭 대상의 다양한 재료와 함께 이용될 수 있다. 본원 명세서에서 설명하고 있는 바와 같이, ALD 층은, 금속 하드 마스크가 에칭되기 전에, 로우-k 층이 에칭되기 전에, 그리고 로우-k 에칭 공정 중에 주기적으로 형성된다. 그러나 본 명세서에서 설명하는 기술의 이점을 얻기 위하여, 전술한 각 공정 스텝에서의 ALD 층의 형성을 이용할 필요는 없다는 것을 인식할 것이다. 이와 같이 하여, ALD 형성은, 예컨대 금속 하드 마스크 에칭 이전에만 발생할 수 있다. 또는, 다른 실시형태에서는, ALD 형성이 ALD 형성/로우-k 에칭의 순환 공정의 일부로서만 이용될 수도 있다. 또 다른 실시형태에서, 본 명세서에서 설명하는 이득의 적어도 일부를 여전히 취득하면서 본 명세서에서 설명하는 ALD 형성 스텝의 다른 조합을 사용할 수 있다.
일 실시형태에서, 로우-k 유전체 층의 에칭 및 로우-k 유전체 측벽에 대한 ALD의 순환 공정 중에 형성되는 모든 측벽에 대하여 동일한 재료가 사용된다. 일 실시형태에서, 하드 마스크 오픈 에칭 스텝 중에 보호를 제공하도록 형성된 ALD 측벽에 대해서도 동일한 재료가 사용될 수 있다. 그러나 다양한 ALD 층이 동일한 재료일 필요는 없다는 것을 인식할 것이다. 또한, 로우-k 유전체 측벽의 보호를 위해서는 제1 재료를 사용할 수 있는 반면에, 하드 마스크 오픈 에칭 중에 제공되는 보호를 위해서는 다른 재료를 사용할 수 있다. 이와 같이 하여, 본 명세서에서 설명되는 특정의 공정 스텝 및 재료는 단지 예시적인 것이고, 본 명세서에서 설명하는 이점의 적어도 일부를 여전히 취득하면서 다른 재료 및 조합을 사용할 수 있다는 것을 인식할 것이다.
본 명세서에서 설명하는 바와 같은 측벽 층으로서 사용되는 ALD 층을 형성하기 위하여 광범위한 ALD 공정을 이용할 수 있다는 것을 인식할 것이다. 이에 따라, 설명하는 기술은 특정의 성막 공정으로 한정되지 않는다. 예시적인 일 실시형태에서, ALD 층은, 실리콘(Si) 전구체 및 산소(O) 리소스를 포함하는 ALD 공정을, 기판을 실란과 같은 실리콘 전구체 가스에 노출시키고 이어서 오존(O3)과 같은 산화 가스에 노출시키는 순환 공정과 함께 사용하여 형성된 SiO2일 수 있다. 성막은 비플라즈마 기반(non-plasma based)의 것이나 플라즈마 지원(plasma assisted)의 것이다. 예컨대, LTO-520(아미노실란 화학물질) 또는 트리스(디메틸아미노)실란(3DMAS) 또는 다른 실리콘 기반 전구체가 오존 또는 플라즈마 SiO2에 번갈아 노출되어, 양 성분은 혼합이 방지된다. 일 실시형태에서, ALD는, 종래의 화학 증착(CVD) 공정이 별개의 성막 스텝으로 분할되어 각 성막 스텝에서 단일의 원자 단층을 순차적으로 성막으로써 박막을 구성하는 공정이다. ALD 기술은, 화학흡착에 의해 반응성 전구체 분자의 포화 단층을 형성하는 원리에 기초하는 것이다. 통상의 ALD 공정은, 기판 상에 포화 단층이 형성될 때까지의 기간 동안 제1 전구체를 주입하는 것을 포함한다. 이어서, 제1 전구체가 불활성 가스를 이용하여 챔버로부터 퍼지된다. 이에 후속하여, 챔버 내에 소정 기간 동안 제2 전구체를 주입하여, 상기 제1 전구체와 상기 제2 전구체의 반응으로부터 웨이퍼 상에 층을 형성한다. 그 후, 제2 전구체가 챔버로부터 퍼지된다. 제1 전구체를 도입하고, 처리 챔버를 퍼지하고, 제2 전구체를 도입하고, 처리 챔버를 퍼지하는 이러한 공정은, 원하는 두께의 막을 달성하기 위하여 복수 회 반복된다. 그러나 본 명세서에서 설명하는 기술을 대안의 ALD 공정 및 장비와 함께 이용할 수 있다는 것을 인식할 것이다.
마찬가지로, 예시적인 일 실시형태에서, ALD 층은, Si 전구체 및 질소(N) 리소스를 포함하는 ALD 공정을, 열적 또는 플라즈마 활성화에 의하여, 기판을 실란과 같은 실리콘 전구체 가스에 노출시키고 이어서 암모니아(NH3)와 같은 질소 함유 가스에 노출시키는 순환 공정과 함께 사용하여 형성된 SiN일 수 있다. 성막은 비플라즈마 기반(non-plasma based)의 것이나 플라즈마 지원(plasma assisted)의 것이다.
일 실시형태에서, ALD 층은 단일의 ALD법을 매개로 형성될 수 있다. 다른 실시형태에서, ALD 층은, 복합 측벽 층인 ALD 층을 제공하도록 상이한 ALD법을 이용하여 각각 형성된 2층(또는 3층 이상의) 상이한 층의 복합체로 구성될 수 있다. 예컨대, 다층의 복합체인 ALD 층의 일 실시형태에서, ALD층의 제1 복합층은 낮은 에너지의 O 또는 N을 함유하는 반응물 소스(예컨대, 오존)를 이용하여 형성되어, 하부의 로우-k 유전체 층에 대한 손상을 최소화하면서 막을 형성할 수 있다. 그 후, ALD층의 후속 복합층(들)은, O 및/또는 N을 함유하는 플라즈마를 이용하는 등의 고에너지 ALD법에 의해 형성되어, 제1 복합층보다 성막 속도를 증가시킬 수 있고 막 특성(예컨대, 양호한 내에칭성)을 조정할 수 있다. 이러한 방식으로, 다층의 복합체인 ALD층을 형성할 수 있고, 제1 층을 제2 층보다 낮은 에너지로 형성할 수 있다. 이와 같이 하여, 일 실시형태에서, 다양한 측벽 층 중 적어도 하나가 제1 ALD법 및 제2 ALD법을 이용하여 복합 측벽 층으로서 형성되고, 제1 ALD법은 하부 복합 측벽 층을 형성하고 제2 ALD법은 하부 복합 측벽 층 위에 상부 복합 측벽 층을 형성하며, 제1 ALD법은 제2 ALD법보다 낮은 에너지로 실행되는 것인 방법이 제공된다. 일 실시형태에서, 하부 복합 측벽 층은 비플라즈마 ALD법에 의해 형성되고, 상부 복합 측벽 층은 플라즈마 ALD법에 의해 형성된다.
본 명세서에서 설명하는 다양한 에칭 스텝을 광범위한 방식으로 달성할 수 있다는 것을 또한 인식할 것이다. 예컨대, 예시적인 일 실시형태에서, 하부 하드 마스크 에칭 스텝은 불화탄소 화학물질에 기초한 플라즈마 에칭일 수 있고, 로우-k 유전체 에칭 스텝은, 불소/탄소비가 낮거나 또는 희석 가스 화학물질의 농도가 높은 불화탄소 화학물질에 기초한 플라즈마 에칭일 수 있다. 전술한 바와 같이, 이들 성막 및 에칭은 단지 예시적인 것이며, 다른 성막 및 에칭을 이용할 수 있다.
전술한 공정 플로우가 단지 예시적인 것이며, 많은 다른 공정 및 용례에 본 명세서에서 설명하는 기술을 유리하게 사용할 수 있다는 것을 인식할 것이다. 도 10 내지 도 12는 본 명세서에서 설명하는 처리 기술을 이용하는 예시적인 방법을 나타낸다. 도 10 내지 도 12의 실시형태가 단지 예시적인 것이며, 추가의 방법에 본 명세서에서 설명하는 기술을 사용할 수 있다는 것을 인식할 것이다. 또한, 본 명세서에서 설명하는 스텝은 배타적인 것으로 의도되지 않으므로, 도 10 내지 도 12에 도시된 방법에 추가의 처리 스텝을 더할 수 있다. 또한, 순서가 상이할 수 있으며 및/또는 다양한 스텝이 조합으로 또는 동시에 실행될 수 있으므로, 스텝의 순서는 도면에 도시된 순서로 한정되지 않는다.
도 10에는, 트렌치를 형성하도록 기판을 에칭하는 방법이 설명되어 있다. 방법은, 기판 상에 제1 패턴화된 피처를 제공하는 단계(1005)와 기판 상에 로우-k 유전체 층을 제공하는 단계(1010)를 포함하며, 로우-k 유전체 층이 제1 패턴화된 피처의 아래에 있다. 방법은, 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 로우-k 유전체 층의 제1 부분을 에칭하는 단계(1015)를 더 포함한다. 로우-k 유전체 층의 제1 부분을 에칭한 후에, 방법은 로우-k 유전체 층의 노출된 측벽 표면 상에 제1 측벽 층을 형성하는 단계(1020)를 포함하며, 제1 측벽 층을 형성하는 단계는 제1 원자층 성막 공정에 의해 실행된다. 로우-k 유전체 층의 노출된 측벽 표면 상에 제1 측벽 층을 형성하는 단계 후에, 방법은, 트렌치 패턴에 따라 로우-k 유전체 층의 제2 에칭을 실행하는 단계(1025)를 포함하며, 제1 측벽 층은 로우-k 유전체 층의 제2 에칭 중에 로우-k 유전체 층의 측벽을 보호한다.
도 11에는, 트렌치를 형성하도록 기판을 에칭하는 방법이 설명되어 있다. 방법은, 기판 상에 제1 패턴화된 피처를 제공하는 단계(1105)와 기판 상에 로우-k 유전체 층을 제공하는 단계(1110)를 포함하고, 로우-k 유전체 층은 제1 패턴화된 피처의 아래에 있다. 방법은, 제1 패턴화된 피처의 적어도 측벽 상에 초기 측벽 층을 제공하는 단계(1115)를 더 포함한다. 제1 패턴화된 피처의 적어도 측벽 상에 초기 측벽 층을 제공하는 단계 후에, 방법은, 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 로우-k 유전체 층의 제1 부분을 에칭하는 단계(1120)를 포함한다. 로우-k 유전체 층의 제1 부분을 에칭하는 단계 후에, 방법은, 원자층 성막 공정에 의해 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하고, 이어서 로우-k 유전체 층에 트렌치가 형성될 때까지 로우-k 유전체 층을 추가 에칭하는 순환 공정을 실행하는 단계(1125)를 포함하고, 노출된 측벽 표면 상에 측벽 층을 형성함으로써, 로우-k 유전체 층의 추가 에칭 중에 로우-k 유전체 층의 측벽을 보호한다.
도 12에는, 트렌치를 형성하도록 기판을 에칭하는 방법이 설명되어 있다. 방법은, 기판 상에 하드 마스크 층을 제공하는 단계(1205)와 기판 상에 제1 패턴화된 피처를 제공하는 단계(1210)를 포함하며, 제1 패턴화된 피처는 하드 마스크 층 위에 위치한다. 방법은, 로우-k 유전체 층을 기판 상에 제공하는 단계(1215)로서, 로우-k 유전체 층이 하드 마스크 층 아래에 위치되는 것인 단계(1215)와, 제1 원자층 성막 공정을 매개로 제1 패턴화된 피처의 적어도 측벽 상에 제1 측벽 층을 제공하는 단계(1220)를 더 포함한다. 제1 패턴화된 피처의 적어도 측벽 상에 제1 측벽 층을 제공하는 단계 후에, 방법은, 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 하드 마스크 층을 에칭하는 단계(1225)를 포함하며, 하드 마스크 층을 에칭하는 단계에 의해, 트렌치 패턴을 하드 마스크 층에 모사하고, 하드 마스크 층의 측벽을 노출시킨다. 방법은, 제2 원자층 성막 공정을 매개로 하드 마스크 층의 적어도 측벽 상에 제2 측벽 층을 제공하는 단계(1230)를 더 포함한다. 하드 마스크 층의 적어도 측벽 상에 제2 측벽 층을 제공하는 단계 후에, 방법은, 하드 마스크 층에 모사된 트렌치 패턴에 따라 로우-k 유전체 층의 일부를 에칭하는 단계(1235)를 포함한다. 로우-k 유전체 층의 일부를 에칭하는 단계 후에, 방법은, 추가의 원자층 성막 공정에 의해 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하고, 이어서 로우-k 유전체 층에 트렌치가 형성될 때까지 로우-k 유전체 층을 추가로 에칭하는 순환 공정을 실행하는 단계(1240)를 포함하며, 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하는 단계에 의해, 로우-k 유전체 층의 추가의 에칭 중에 로우-k 유전체 층의 측벽을 보호한다.
본 발명의 추가의 수정 및 대체의 실시형태는 상기 설명을 감안하여 당업자에게 명백하게 될 것이다. 따라서 이러한 설명은 단지 예시적인 것으로 해석되어야 하며, 당업자에게 발명을 실행하는 방식을 교시하기 위한 것이다. 본 명세서에서 설명 및 도시하는 발명의 형태 및 방법은 현재에 바람직한 실시형태로서 고려되는 것을 이해해야 한다. 본 발명의 이러한 설명의 혜택을 받은 후에 당업자에게 모두 명백한 바와 같이, 본 명세서에서 설명 및 예시된 것들은 등가의 기술로 대체될 수 있으며, 발명의 어떤 특징들은 다른 특징과는 독립적으로 활용될 수 있다.

Claims (21)

  1. 트렌치를 형성하도록 기판을 에칭하는 방법으로서,
    상기 기판 상에 제1 패턴화된 피처를 제공하는 단계;
    상기 기판 상에 로우-k 유전체 층을 제공하는 단계로서, 상기 로우-k 유전체 층은 상기 제1 패턴화된 피처 아래에 있는 것인 단계;
    상기 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 상기 로우-k 유전체 층의 제1 부분을 에칭하는 단계;
    상기 로우-k 유전체 층의 제1 부분을 에칭하는 단계 후에, 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 제1 측벽 층을 형성하는 단계로서, 제1 원자층 성막 공정에 의해 실행되는 것인 단계;
    상기 로우-k 유전체 층의 노출된 측벽 표면 상에 제1 측벽 층을 형성하는 단계 후에, 상기 트렌치 패턴에 따라 로우-k 유전체 층의 제2 에칭을 실행하는 단계로서, 상기 제1 측벽 층은 상기 로우-k 유전체 층의 제2 에칭 중에 로우-k 유전체 층의 측벽을 보호하는 것인 단계; 및
    상기 패턴화된 피처의 적어도 측벽 상에 패턴화된 피처 측벽 층을 형성하는 단계로서, 상기 패턴화된 피처 측벽 층을 형성하는 단계는 초기의 원자층 성막 공정에 의해 실행되고, 상기 패턴화된 피처 측벽 층을 형성하는 단계는 상기 로우-k 유전체 층의 제1 부분을 에칭하기 전에 실행되는 것인 단계
    를 포함하고,
    상기 제1 측벽 층 및 상기 패턴화된 피처 측벽 층 중 적어도 하나는 제1 ALD법 및 제2 ALD법을 이용하여 복합 측벽 층으로서 형성되며, 상기 제1 ALD법은 하부 복합 측벽 층을 형성하고, 상기 제2 ALD법은 상기 하부 복합 측벽 층 위에 상부 복합 측벽 층을 형성하며, 상기 제1 ALD법은 상기 제2 ALD법보다 낮은 에너지로 실행되는 것인 에칭 방법.
  2. 제1항에 있어서, 상기 로우-k 유전체 층의 제2 에칭 후에, 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 제2 측벽 층을 형성하는 단계를 포함하며, 상기 제2 측벽 층을 형성하는 단계는 제2 원자층 성막 공정에 의해 실행되는 것인 에칭 방법.
  3. 제2항에 있어서, 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 제2 측벽 층을 형성하는 단계 후에, 상기 트렌치 패턴에 따라 상기 로우-k 유전체 층의 제3 에칭을 실행하는 단계를 포함하고, 상기 제2 측벽 층은 상기 로우-k 유전체 층의 제3 에칭 중에 상기 로우-k 유전체 층의 측벽을 보호하는 것인 에칭 방법.
  4. 제3항에 있어서, 상기 로우-k 유전체 층의 제3 에칭 후에, 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 제3 측벽 층을 형성하는 단계를 포함하며, 상기 제3 측벽 층을 형성하는 단계는 제3 원자층 성막 공정에 의해 실행되는 것인 에칭 방법.
  5. 제4항에 있어서, 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 제3 측벽 층을 형성하는 단계 후에, 상기 트렌치 패턴에 따라 상기 로우-k 유전체 층의 제4 에칭을 실행하는 단계를 포함하고, 상기 제3 측벽 층은 상기 로우-k 유전체 층의 제4 에칭 중에 상기 로우-k 유전체 층의 측벽을 보호하는 것인 에칭 방법.
  6. 제5항에 있어서, 상기 제1 측벽 층, 제2 측벽 층 및 제3 측벽 층은 동일한 재료로 형성되는 것인 에칭 방법.
  7. 제6항에 있어서, 상기 동일한 재료는 실리콘 산화물 또는 실리콘 질화물인 것인 에칭 방법.
  8. 트렌치를 형성하도록 기판을 에칭하는 방법으로서,
    상기 기판 상에 제1 패턴화된 피처를 제공하는 단계;
    상기 기판 상에 로우-k 유전체 층을 제공하는 단계로서, 상기 로우-k 유전체 층은 상기 제1 패턴화된 피처 아래에 있는 것인 단계;
    상기 제1 패턴화된 피처의 적어도 측벽 상에 초기 측벽 층을 제공하는 단계;
    상기 제1 패턴화된 피처의 적어도 측벽 상에 초기 측벽 층을 제공하는 단계 후에, 상기 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 상기 로우-k 유전체 층의 제1 부분을 에칭하는 단계; 및
    상기 로우-k 유전체 층의 제1 부분을 에칭하는 단계 후에, 원자층 성막 공정에 의해 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하고, 이어서 상기 트렌치가 상기 로우-k 유전체 층에 형성될 때까지 상기 로우-k 유전체 층을 추가로 에칭하는 순환 공정(cyclic process)을 실행하는 단계로서, 상기 노출된 측벽 표면 상에 측벽 층을 형성하는 단계는 상기 로우-k 유전체 층의 상기 추가 에칭 중에 상기 로우-k 유전체 층의 측벽을 보호하는 것인 단계
    를 포함하고,
    상기 초기 측벽 층, 적어도 하나의 상기 로우-k 유전체 층의 노출된 측벽 표면 상의 측벽 층, 또는 상기 초기 측벽 층 및 적어도 하나의 상기 로우-k 유전체 층의 노출된 측벽 표면 상의 측벽 층은 제1 ALD법 및 제2 ALD법을 이용하여 복합 측벽 층으로서 형성되며, 상기 제1 ALD법은 하부 복합 측벽 층을 형성하고, 상기 제2 ALD법은 상기 하부 복합 측벽 층 위에 상부 복합 측벽 층을 형성하며, 상기 제1 ALD법은 상기 제2 ALD법보다 낮은 에너지로 실행되는 것인 에칭 방법.
  9. 제8항에 있어서, 상기 제1 패턴화된 피처의 적어도 측벽 상의 초기 측벽 층과 상기 로우-k 유전체 층의 노출된 측벽 표면 상의 측벽 층은 동일한 재료로 형성되는 것인 에칭 방법.
  10. 제8항에 있어서, 상기 제1 패턴화된 피처의 적어도 측벽 상의 초기 측벽 층과 상기 로우-k 유전체 층의 노출된 측벽 표면 상의 측벽 층은 실리콘 산화물 또는 실리콘 질화물로 형성되는 것인 에칭 방법.
  11. 제8항에 있어서, 적어도 두 사이클의 순환 공정이 발생하는 것인 에칭 방법
  12. 제11항에 있어서, 상기 순환 공정 중에 형성되는 측벽은 모두 동일한 재료로 형성되는 것인 에칭 방법.
  13. 제12항에 있어서, 상기 동일한 재료는 실리콘 산화물 또는 실리콘 질화물인 것인 에칭 방법.
  14. 트렌치를 형성하도록 기판을 에칭하는 방법으로서,
    상기 기판 상에 하드 마스크 층을 제공하는 단계;
    상기 기판 상에 제1 패턴화된 피처를 제공하는 단계로서, 상기 제1 패턴화된 피처는 상기 하드 마스크 층 위에 위치하는 것인 단계;
    상기 기판 상에 로우-k 유전체 층을 제공하는 단계로서, 상기 로우-k 유전체 층은 상기 하드 마스크 층 아래에 위치하는 것인 단계;
    제1 원자층 성막 공정을 매개로 상기 제1 패턴화된 피처의 적어도 측벽 상에 제1 측벽 층을 제공하는 단계;
    상기 제1 패턴화된 피처의 적어도 측벽 상에 제1 측벽 층을 제공하는 단계 후에, 상기 제1 패턴화된 피처에 의해 형성된 트렌치 패턴에 따라 상기 하드 마스크 층을 에칭하는 단계로서, 상기 하드 마스크 층을 에칭하는 단계는 상기 하드 마스크 층에 트렌치 패턴을 모사하고, 상기 하드 마스크 층의 측벽을 노출시키는 것인 단계;
    제2 원자층 성막 공정을 매개로 상기 하드 마스크 층의 적어도 측벽 상에 제2 측벽 층을 제공하는 단계;
    상기 하드 마스크 층의 적어도 측벽 상에 제2 측벽 층을 제공하는 단계 후에, 상기 하드 마스크 층에 모사된 트렌치 패턴에 따라 상기 로우-k 유전체 층의 일부를 에칭하는 단계; 및
    상기 로우-k 유전체 층의 일부를 에칭하는 단계 후에,
    추가의 원자층 성막 공정에 의해 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하고, 이어서 상기 트렌치가 상기 로우-k 유전체 층에 형성될 때까지 상기 로우-k 유전체 층을 추가로 에칭하는 순환 공정을 실행하는 단계로서, 상기 로우-k 유전체 층의 노출된 측벽 표면 상에 측벽 층을 형성하는 단계는 상기 로우-k 유전체 층의 상기 추가 에칭 중에 상기 로우-k 유전체 층의 측벽을 보호하는 것인 단계
    를 포함하는 에칭 방법.
  15. 제14항에 있어서, 상기 트렌치는 금속배선 층(metallization layer)과 정렬되는 것인 에칭 방법.
  16. 제14항에 있어서, 상기 로우-k 유전체 층의 노출된 측벽 표면 상의 측벽 층은 모두 제1 재료로 형성되는 것인 에칭 방법.
  17. 제16항에 있어서, 상기 제1 재료는 SiO2 또는 SiN인 것인 에칭 방법.
  18. 제17항에 있어서, 상기 제1 측벽 층과 상기 제2 측벽 층은 모두 제1 재료로 형성되는 것인 에칭 방법.
  19. 제14항에 있어서, 상기 제1 측벽 층 및 제2 측벽 층 중 적어도 하나 또는 상기 로우-k 유전체 층의 노출된 측벽 표면 상의 측벽 층은 제1 ALD법 및 제2 ALD법을 이용하여 복합 측벽 층으로서 형성되며, 상기 제1 ALD법은 하부 복합 측벽 층을 형성하고, 상기 제2 ALD법은 상기 하부 복합 측벽 층 위에 상부 복합 측벽 층을 형성하며, 상기 제1 ALD법은 상기 제2 ALD법보다 낮은 에너지로 실행되는 것인 에칭 방법.
  20. 삭제
  21. 삭제
KR1020190059575A 2018-05-21 2019-05-21 에칭 중의 로우-k 트렌치 보호용 원자층 성막 KR102562862B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862674092P 2018-05-21 2018-05-21
US62/674,092 2018-05-21
US201862681699P 2018-06-07 2018-06-07
US62/681,699 2018-06-07

Publications (2)

Publication Number Publication Date
KR20190132950A KR20190132950A (ko) 2019-11-29
KR102562862B1 true KR102562862B1 (ko) 2023-08-02

Family

ID=68533001

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190059575A KR102562862B1 (ko) 2018-05-21 2019-05-21 에칭 중의 로우-k 트렌치 보호용 원자층 성막

Country Status (4)

Country Link
US (1) US10964587B2 (ko)
KR (1) KR102562862B1 (ko)
CN (1) CN110517983A (ko)
TW (1) TWI803636B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
CN111128865A (zh) * 2019-12-18 2020-05-08 华虹半导体(无锡)有限公司 大马士革互连制程工艺
CN113808929A (zh) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 一种半导体结构的形成方法
US11424120B2 (en) 2021-01-22 2022-08-23 Tokyo Electron Limited Plasma etching techniques

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101075045B1 (ko) * 2002-10-11 2011-10-19 램 리써치 코포레이션 플라즈마 에칭 성능 강화를 위한 방법
KR100571657B1 (ko) * 2003-12-15 2006-04-17 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
US7205226B1 (en) * 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US7338893B2 (en) 2005-11-23 2008-03-04 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
US8564103B2 (en) * 2009-06-04 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an electronic device
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US20150091172A1 (en) * 2013-10-01 2015-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Pore sealing techniques for porous low-k dielectric interconnect
US9613852B2 (en) 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US9496224B2 (en) * 2014-05-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US9431297B2 (en) * 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device

Also Published As

Publication number Publication date
TW202013606A (zh) 2020-04-01
US10964587B2 (en) 2021-03-30
CN110517983A (zh) 2019-11-29
KR20190132950A (ko) 2019-11-29
US20190355617A1 (en) 2019-11-21
TWI803636B (zh) 2023-06-01

Similar Documents

Publication Publication Date Title
KR102562862B1 (ko) 에칭 중의 로우-k 트렌치 보호용 원자층 성막
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US7494934B2 (en) Method of etching carbon-containing layer and method of fabricating semiconductor device
USRE47170E1 (en) Method of forming semiconductor patterns
KR102630751B1 (ko) 3d nand 에칭
KR20190115099A (ko) ALE (atomic layer etching) 에서 지향성 제어
KR102336347B1 (ko) 붕소-도핑된 비정질 탄소 하드 마스크 및 방법
SG171865A1 (en) Methods of fabricating substrates
CN108352303A (zh) 氧化硅的自对准遮蔽
CN111627806A (zh) 基片处理方法和基片处理装置
KR20230004881A (ko) 공극을 형성하기 위한 시스템들 및 방법들
US20070128553A1 (en) Method for forming feature definitions
Ventzek et al. Atomic precision device fabrication using cyclic self-limiting plasma processes: involving silicon, silicon nitride, and silicon dioxide
US20220181141A1 (en) Etch stop layer
US20230054940A1 (en) Method of forming patterned features
US20100068882A1 (en) Semiconductor Device and Method for Manufacturing the Same
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
US20230178371A1 (en) Method and apparatus for hard mask deposition
US10854453B2 (en) Method for reducing reactive ion etch lag in low K dielectric etching
JP2006173299A (ja) 半導体装置の製造方法
KR100891518B1 (ko) 반도체 소자의 제조방법
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统
JPH05217960A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant