CN108352303A - 氧化硅的自对准遮蔽 - Google Patents

氧化硅的自对准遮蔽 Download PDF

Info

Publication number
CN108352303A
CN108352303A CN201680066727.7A CN201680066727A CN108352303A CN 108352303 A CN108352303 A CN 108352303A CN 201680066727 A CN201680066727 A CN 201680066727A CN 108352303 A CN108352303 A CN 108352303A
Authority
CN
China
Prior art keywords
silicon nitride
exposure
silica
patterned substrate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680066727.7A
Other languages
English (en)
Inventor
王非
米哈伊尔·科里奥克
妮琴·K·英吉
王安川
罗伯特·简·维瑟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108352303A publication Critical patent/CN108352303A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文描述蚀刻氮化硅比蚀刻硅或氧化硅快的方法。亦描述将额外材料选择性沉积至氮化硅上的方法。氮化硅与氧化硅的暴露部分可皆存在于图案化基板上。自组装单层(SAM)选择性地沉积覆于氧化硅上但不在暴露的氮化硅上。自组装单层的分子包括头部基团与尾部基团,该头部基团在该暴露的氧化硅部分上以OH基形成键合,而该尾部基团延伸远离该图案化基板。之后可使用后续对蚀刻剂或沉积前驱物的暴露,以选择性移除氮化硅或于氮化硅上选择性沉积额外材料。

Description

氧化硅的自对准遮蔽
相关申请的交叉引用
本申请为2016年8月11日提出的美国专利申请第15/235,048号的部分继续申请,本申请要求于2015年11月20日提出的美国临时专利申请第62/258,122号的权益。基于所有目的,通过引用将15/235,048及62/258,122的公开内容全部结合在此。
技术领域
本文描述的实施方式涉及选择性遮蔽氧化硅而免于蚀刻及沉积。
背景技术
集成电路是由在基板表面上生产错综复杂的图案化材料层的工艺而实现。在基板上生产图案化材料需要受控的移除暴露材料的方法。化学蚀刻用于各种目的,这些目的包括将光刻胶中的图案转移至下面的层中、使层变薄、或使已存在于表面上的特征的侧面尺寸变薄。经常期望有移除一种材料比移除另一种快的蚀刻工艺,以助于例如图案转移工艺的进行。此类蚀刻工艺称为对第一材料有选择性。材料、电路、与工艺多样化的结果是,已经对各式各样材料的选择性的蚀刻工艺进行开发。然而,缺乏选择性移除氮化硅移除得比硅或氧化硅快的选项。
干蚀刻工艺经常是备受期望用于从半导体基板移除材料。该期望的能力是源自以极微的物理性干扰从细微的结构温和地移除材料的能力。干蚀刻工艺亦容许通过移除气相反应试剂而使得蚀刻速率突然停止。某些干蚀刻工艺涉及将基板暴露至远程等离子体副产物,这些远程等离子体副产物是由一或多种前驱物形成。举例而言,氨与三氟化氮的远程等离子体激发使得当等离子体流出物流进基板处理区域时能从图案化基板上选择性移除氧化硅。亦已开发远程等离子体蚀刻工艺以移除氮化硅,然而,这些蚀刻工艺的(相对于硅或氧化硅的)氮化硅选择性还有待进一步改善。
需要一些方法改善干蚀刻工艺的相对于硅或氧化硅的氮化硅蚀刻的选择性。
发明内容
本文描述蚀刻氮化硅比蚀刻氧化硅快的方法。亦描述将额外材料选择性沉积至氮化硅上的方法。氮化硅与氧化硅的暴露部分可皆存在于图案化基板上。自组装单层(SAM)选择性地沉积而覆于氧化硅上但不在暴露的氮化硅上。自组装单层的分子包括头部基团与尾部基团,该头部基团在该暴露的氧化硅部分上以OH基形成键合,而该尾部基团延伸远离该图案化基板。之后,可将使用无水气相HF的后续气相蚀刻用于选择性移除氮化硅而使氮化硅移除得远比氧化硅快,这是因为已发现该SAM延迟该蚀刻且减少蚀刻速率。可类似地使用后续的沉积,以于氮化硅上选择性沉积额外材料而使在氮化硅上远比在氧化硅上沉积得快,这是由于存在SAM所致。
在此描述数个方法,这些方法包括从图案化基板移除氮化硅。这些方法包括:(i)选择性形成部分层,该部分层覆于该图案化基板的数个氧化硅部分上但不覆于该图案化基板的数个氮化硅部分上。不施加任何形式的光刻术而使该部分层在形成后经图案化。这些方法进一步包括(ii)从这些氮化硅部分选择性蚀刻该氮化硅比从该氧化硅部分蚀刻氧化硅蚀刻得快。
可不施加任何介入的光刻术或蚀刻操作而使该图案化层在形成后经图案化。操作(i)可发生在操作(ii)之前。可重复操作(i)与操作(ii)达整数次。操作(i)与操作(ii)可同步(concurrently)发生。
在此描述数个方法,这些方法包括从图案化基板蚀刻氮化硅。这些方法包括提供图案化基板,该图案化基板具有暴露的氮化硅部分与暴露的氧化硅部分。这些方法进一步包括将该图案化基板暴露至烷基硅烷前驱物。这些方法进一步包括于该暴露的氧化硅部分上形成自组装单层但不在该暴露的氮化硅部分上形成该自组装单层。这些方法进一步包括将该图案化基板暴露至含卤素前驱物。这些方法进一步包括以一氮化硅蚀刻速率从该暴露的氮化硅部分蚀刻该氮化硅,同时以一氧化硅蚀刻速率从该暴露的氧化硅部分移除氧化硅,该氧化硅蚀刻速率小于该氮化硅蚀刻速率的百分之一。
这些方法可进一步包括在形成该厚度的该图案化层之后移除该自组装单层,而重新暴露该暴露的氧化硅部分。形成该自组装单层可在蚀刻该氮化硅前发生。将该图案化基板暴露至该烷基硅烷前驱物可与将该图案化基板暴露至该含卤素前驱物同步发生。形成该自组装单层与蚀刻该氮化硅可皆发生在该图案化基板处于无等离子体的基板处理区域时。该含卤素前驱物可包括氟。该含卤素前驱物可包括无水HF。该含卤素前驱物可以是气相前驱物。该自组装单层的每一分子可包括头部基团与尾部基团。该头部基团可与该暴露的氧化硅部分形成键合,且该尾部基团可延伸远离该图案化基板。该自组装单层可相对于该暴露的氮化硅部分的蚀刻速率减少该暴露的氧化硅部分的后续蚀刻速率。
在此描述数个方法,这些方法包括将额外层选择性沉积至图案化基板上。这些方法包括提供图案化基板,该图案化基板具有暴露的氮化硅部分与暴露的氧化硅部分。这些方法进一步包括选择性地于该暴露的氧化硅部分上形成自组装单层但不在该暴露的氮化硅部分上形成该自组装单层。这些方法进一步包括将该图案化基板暴露至沉积前驱物。这些方法进一步包括将额外材料沉积至该暴露的氮化硅部分上比沉积至该氧化硅部分上要快至少一百倍。
使该沉积前驱物流进该基板处理区域可于选择性形成该自组装单层之后发生。选择性形成该自组装单层及将额外材料沉积至该暴露的氮化硅部分上各自可发生在该图案化基板处于无等离子体的基板处理区域中时。
为了更佳了解本发明的本质与优点,应参考下文的叙述与随附的图式。然而,应当了解,每一个附图仅出于说明的目的而提供,并非旨在作为限定本发明的范围的界限。
附图说明
可通过参考说明书的其余部分及附图,而实现对所公开的技术的本质与优点的进一步的了解。
图1示出根据实施方式的选择性蚀刻氮化硅的方法。
图2示出根据实施方式的于氮化硅上选择性形成膜的方法。
图3A与图3B是根据实施方式的选择性蚀刻期间及之后的图案化基板的侧视图。
图3C与图3D是根据实施方式的选择性沉积期间及之后的图案化基板的侧视图。
图4A示出根据实施方式的基板处理腔室的示意性截面图。
图4B示出根据实施方式的基板处理腔室的一部分的示意性截面图。
图4C示出根据实施方式的喷头的仰视图。
图5A与图5B是根据实施方式的基板处理设备的示意图。
图6是根据实施方式的示例性基板处理系统的俯视图。
在所附图示中,相似的部件和/或特征可具有相同的附图标号。另外,相同类型的各种部件可通过以下方式区别:将虚线和第二符号接在该元件符号之后,该第二符号用于区别这些类似的部件。若说明书中仅使用第一附图标号,则该说明适用于具有相同第一附图标号而与,第二附图标号无关的相似部件的任一者。
具体实施方式
本文描述蚀刻氮化硅比蚀刻硅或氧化硅快的方法。亦描述将额外材料选择性沉积至氮化硅上的方法。氮化硅与氧化硅的暴露部分可皆存在于图案化基板上。自组装单层(SAM)选择性地沉积覆于氧化硅上但不在暴露的氮化硅上。自组装单层的分子包括头部基团与尾部基团,该头部基团在该暴露的氧化硅部分上以OH基形成键合,而该尾部基团延伸远离该图案化基板。之后,可将使用无水气相HF的后续气相蚀刻用于选择性移除氮化硅而使氮化硅移除得远比氧化硅快,这是因为已发现该SAM延迟该蚀刻且减少蚀刻速率。可类似地使用后续的沉积,以于氮化硅上选择性沉积额外材料而使在氮化硅上远比在氧化硅上沉积得快,这是由于存在SAM所致。
选择性远程气相蚀刻工艺已使用侵蚀性的(aggressive)氧化前驱物组合远程激发的含氟前驱物以达成氮化硅相对于硅的蚀刻选择性。侵蚀性的氧化前驱物用于使硅的薄层氧化,以防止进一步的蚀刻。在此提出的这些方法除去氧化的需求,且可或可不移除能够进一步增强有效蚀刻选择性的远程等离子体成分。对于减少的特征尺寸而言,这些优点变得逐渐受到期望。在实施方式中,描述数个方法,这些方法于暴露的氧化硅部分上优先形成自组装单层(SAM)而不在暴露的氮化硅部分上形成自组装单层,上述暴露的氮化硅部分亦存在于图案化基板上。随后将蚀刻剂引入有该基板的基板处理区域中,以选择性移除氮化硅。
为了更佳了解及认识实施方式,现在请参考图1,图1是根据实施方式的氮化硅选择性蚀刻工艺101的流程图。第一操作之前,于图案化基板中形成结构(操作110)。该结构拥有氮化硅及氧化硅的数个暴露部分。该图案化基板随后可传送至基板处理区域中。随后可使烷基硅烷穿过喷头流进基板处理区域。于操作120中,选择性形成自组装单层(SAM)覆于该暴露的氧化硅部分上但不覆于暴露的氮化硅部分上。
于操作130中,启动无水氟化氢流而使该无水氟化氢流进入基板处理区域,该基板处理区域容纳该基板。气相的HF(例如,无水HF)可穿过喷头流进该基板处理区域,以均匀地与该图案化基板反应。该喷头可包括贯穿孔(through-hole),这些贯穿孔通往(open into)基板处理区域而于基板表面附近更为均匀地分配该HF(和/或先前的烷基硅烷)。在实施方式中,没有等离子体在基板处理区域中点燃或是位在基板处理区域的上游点燃。本文所述的任何或所有操作期间,该基板处理区域可称为无等离子体基板处理区域。在实施方式中,该无水氟化氢在进入该基板处理区域之前可不通过任何远程等离子体。或者,根据实施方式,可使用远程等离子体以激发含氟前驱物/含氢前驱物的组合,以形成HF或无水HF。
选择性蚀刻图案化基板(操作140),使得暴露的氮化硅以相较于暴露的氧化硅更高的速率被选择性移除。暴露的氧化硅部分于本文可称为“暴露的”氧化硅,尽管有SAM薄层位于该氧化硅的表面上。根据实施方式,该暴露的氧化硅可包括硅与氧或由硅与氧组成。仅覆于氧化硅上的SAM的存在可相对于暴露的氧化硅实质上增加对暴露的氮化硅的蚀刻选择性。于操作150,从基板处理区域移除处理流出物与未反应的反应物,且之后可移除任何残余的自组装单层部分。一些实施方式中,可在氮化硅被选择性移除时移除自组装单层,所以操作150可为视情况任选的。根据实施方式,于操作150,在移除残余的自组装单层部分之前或之后,可从基板处理区域移除基板。
本文所述的所有蚀刻工艺使用选择性沉积至暴露的氧化硅部分上的自组装单层(SAM),以增加暴露的氮化硅部分的蚀刻选择性。暴露的氮化硅部分的蚀刻是侵蚀性的蚀刻,该侵蚀性的蚀刻能降解(degrade)覆于该暴露的氧化硅部分上的一体化(integrity)的自组装单层。该SAM随着时间逐渐降解且移除。本文所述的所有工艺可显示出用于许多半导体工艺的令人满意的氮化硅蚀刻选择性,实施方式中,该半导体工艺中该蚀刻持续达0.5分至4分,或介于1分至3分之间。或者,可在重复该蚀刻工艺之前重新施加该SAM,如图1中虚线所指。实施方式中,可重复操作120至140整数次,以经由方法101相对于单一行程(pass)移除更多材料,同时亦维持更高的氮化硅选择性。在数个实施方式中,可重复操作120至150整数次,这些实施方式获益于在重新施加新的自组装单层覆于暴露的氧化硅部分之前清除残余的SAM。可处理该氧化硅部分而以OH基再度封端(reterminate)该表面,例如,通过暴露至氢氧化钾来再度封端。
大体而言,含氟前驱物(或于远程等离子体中形成的等离子体流出物,该远程等离子体是来自由含氟前驱物形成的等离子体流出物)可流进基板处理区域而蚀刻基板。根据实施方式,该含氟前驱物可包括F2、NF3、或FCl3中的一或多种。实施方式中,该含氟前驱物可缺乏氢,然而该含氟前驱物可为HF。实施方式中,这些等离子体流出物可由含氟前驱物与含氢前驱物的组合形成。根据实施方式,这些等离子体流出物可包括HF或无水HF,或者由HF或无水HF所组成。使用远程等离子体以形成包括HF的等离子体流出物可以是用于生成HF或无水HF的原位(in-situ)方法。实施方式中,该含氢前驱物可包括H2或H2O中的一或多种。根据实施方式,该含氟前驱物可包括HF,或者由HF所组成。实施方式中,该含氟前驱物可通过使载气通过液态氢氟溶液起泡而形成。根据实施方式,该液态氢氟溶液可以是49%的HF溶液或是70%的HF-吡啶溶液。
实施方式中,可在烷基硅烷流进基板处理区域之后使HF流进基板处理区域中。然而,根据实施方式,可与该烷基硅烷前驱物流进基板处理区域中的步骤同步地使该HF流进该基板处理区域中。当蚀刻工艺101进行时,同步的暴露可在暴露的氧化硅上再生成SAM层,而可提供减少处理时间的优点。实施方式中,选择性蚀刻图案化基板可与自组装单层的形成同时发生,或在自组装单层形成之后发生。根据实施方式,可最初先形成该自组装单层,之后再同步开始再生成该自组装单层与选择性蚀刻该图案化基板。实施方式中,于该暴露的氧化硅部分上初始的形成该自组装单层确保该暴露的氧化硅部分受到保护(至少暂时受到保护)以隔绝侵蚀性的蚀刻剂(例如HF)。大体而言,根据实施方式,形成该自组装单层与选择性蚀刻该图案化基板可在分开的基板处理腔室中执行而因此在分开的基板处理区域中执行。
氮化硅选择性蚀刻工艺101亦可用于使氮化硅移除得比硅快。已发现,本文所述的蚀刻剂所产生的反应物主要蚀刻氮化硅且基本上仅留下硅,而没有氧化暴露的硅以防止蚀刻。于是,基本上不消耗硅以产生保护性氧化硅层而达成高蚀刻选择性。就此而言,实施方式中,暴露的硅部分亦可存在于图案化基板上,且可包括硅或由硅组成。实施方式中,蚀刻工艺101的选择性(暴露的氮化硅:暴露的氧化硅或暴露的硅)可大于100:1、大于120:1、或大于140:1。
已发现本文所介绍的蚀刻工艺不仅提供对高密度氧化硅膜的氮化硅蚀刻选择性,亦提供对低密度氧化硅膜的氮化硅蚀刻选择性。所达成的氮化硅选择性使气相蚀刻得以用在更大范围的工艺序列。造成低密度氧化硅的示例性沉积技术包括使用二氯硅烷作为沉积前驱物的化学气相沉积、旋涂式玻璃(SOG)、或等离子体增强化学气相沉积。根据实施方式,可将高密度氧化硅沉积作为热氧化物(于高温将硅暴露至例如O2)、乙硅烷前驱物热炉氧化、或高密度等离子体化学气相沉积。实施方式中,蚀刻工艺101的选择性(暴露的氮化硅:暴露的高品质氧化硅)可大于100:1、大于120:1、或大于140:1。根据实施方式,蚀刻工艺101的选择性(暴露的氮化硅:暴露的低品质氧化硅)可大于100:1、大于120:1、或大于140:1。
无水氟化氢可进一步包括一或多种相对惰性的气体(例如He、N2、Ar)。可使用不同气体的流率及比例,以控制蚀刻速率与蚀刻选择性。一实施方式中,该无水氟化氢可以以一流率流进基板处理区域中,实施方式中,该流率介于约10sccm(标准状态下每分钟立方厘米)至1000sccm之间。氩(Ar)和/或氦(He)可与任一前驱物(或与这两个前驱物分别地)以介于0sccm至3000sccm之间的流率流动。发明所属技术领域中普通技术人员会知道,可取决于多个因子而使用其他气体和/或流率,这些因子包括:处理腔室构造、基板尺寸、受蚀刻的特征的几何形状(geometry)及布局(layout)。这些工艺参数应用至本文所述的所有示例。在图2的示例期间与之后将会给定额外的工艺参数。
现在请参考图2,图2是根据实施方式的于氮化硅上选择性形成膜的方法的流程图。第一操作前,在图案化基板中形成结构(操作210)。该结构拥有氮化硅与氧化硅的数个暴露部分。该图案化基板随后可传送到基板处理区域中。
可将烷基硅烷穿过喷头流进基板处理区域中。自组装单层选择性形成在暴露的氧化硅部分上,但不在暴露的氮化硅部分上(操作220)。在操作230,沉积前驱物穿过喷头流进基板处理区域。该喷头可包括贯穿孔,该贯穿孔通往基板处理区域,以在基板表面附近更均匀地分配任一前驱物。
选择性沉积额外层(操作240),使得额外层以比在暴露的氧化硅上的任何沉积速率更高的沉积速率沉积在氮化硅上。由于存在SAM层所致,额外层至暴露的氮化硅上的沉积速率可小于至暴露的氧化硅上的沉积速率。额外层已图案化(在实施方式中,于沉积期间或是沉积后立刻图案化)且该额外层可不涉及图案化或蚀刻而变得已图案化。实施方式中,基板处理区域在选择性膜形成工艺201的所有操作期间或是在操作210至240期间可为无等离子体。根据实施方式,暴露的氧化硅部分可包括硅与氧或由硅与氧组成。暴露的氧化硅部分上SAM的存在可实质上抑制或消除额外层至暴露的氧化硅上的沉积速率,但允许沉积得以在暴露的氮化硅上进行。如之前所述,本文将暴露的氧化硅描述成“暴露”,无论是否吸附薄的SAM层。可从基板处理区域移除处理流出物和/或未反应的反应物,且之后可从处理区域移除基板。
如在先前的示例中,可视情况任选地移除SAM层(操作250),以从暴露的氧化硅部分移除SAM层。根据实施方式,选择性沉积方法201将额外层的额外材料仅沉积至暴露的氮化硅部分上而不用光刻式图案化。光刻式图案化可包括沉积光刻胶、执行光刻术、及蚀刻暴露的氮化硅部分,可不执行上述三者而额外层仍会如本文所述般图案化。实施方式中,操作210之后直至操作250且包括操作250并没有执行光刻术。换言之,形成后该额外层可图案化,而不用施加任何介入的光刻操作。实施方式中,暴露的氮化硅部分上的额外层的沉积厚度可大于5nm、大于10nm、大于20nm、或大于30nm。同时,暴露的氮化硅部分上额外层的沉积厚度可小至以最敏感的手段亦难以量测。根据实施方式,额外层的沉积厚度可小于0.3nm、小于0.2nm、或小于0.1nm。
可将本文所述的蚀刻与沉积工艺施加至具高深宽比特征的图案化基板,该高深宽比特征的形式为沟槽或通孔。实施方式中,接近高深宽比特征的底部的蚀刻速率或沉积速率可在接近该高深宽比特征的开口处的蚀刻速率或沉积速率的12%以内、7%以内、5%以内、或3%以内。根据实施方式,通孔或沟槽(高深宽比特征)的深度可大于0.5μm、大于1.0μm、或大于2.0μm。实施方式中,通孔或沟槽的宽度(较窄的尺寸)可小于30nm、小于20nm、或小于10nm。根据实施方式,深度对宽度的深宽比可大于10、大于50、或大于100。
暴露的氮化硅部分的蚀刻速率或是至该暴露的氮化硅部分的沉积速率可不受SAM影响,因为SAM仅选择性沉积于暴露的氧化硅部分上而不在暴露的氮化硅部分或任何暴露的硅部分上。暴露的氮化硅部分的蚀刻速率可大于暴露的氧化硅部分的蚀刻速率的100倍、150倍、或200倍。类似地,额外层的额外材料至暴露的氮化硅部分上的沉积速率可大于至暴露的氧化硅部分上的沉积速率的100倍、150倍、或200倍。
实施方式中,可通过在用于蚀刻的相同基板处理区域中(如示例所示)或在不同的基板处理区域中将图案化基板的暴露的氧化硅部分暴露至烷基硅烷,而使SAM沉积至基板上。大体而言,根据实施方式,SAM前驱物可用于沉积SAM且该SAM前驱物可包括硅、氧、碳、与氢,或者由硅、氧、碳、与氢所组成。实施方式中,该SAM前驱物可包括硅、氧、碳、氯与氢,或者由硅、氧、碳、氯与氢所组成。根据实施方式,该SAM前驱物可包括硅、氧、碳、氮与氢,或者由硅、氧、碳、氮与氢所组成。实施方式中,该SAM前驱物可包括前述三组的元素中的任一种及氟,或者是由前述三组的元素中的任一种及氟所组成。
SAM前驱物可包括头部基团与尾部基团,或者由头部基团与尾部基团所组成。实施方式中,该头部基团可具有与三个甲氧基共价键合的硅,且该尾部基团可以是与头部基团的硅原子的剩余键共价键合的烷基链。头部基团的硅原子可丧失甲氧基,且该硅原子可随后键合至暴露的氧化硅部分,条件是化学封端已正确地形成。认为表面上的羟基促进SAM前驱物与暴露的氧化硅部分之间的化学反应。烷基硅烷可进一步包括卤素。根据实施方式,该烷基硅烷可以是C8-甲氧基硅烷、C7-甲氧基硅烷、C6-甲氧基硅烷、C5-甲氧基硅烷、C4-甲氧基硅烷、C3-甲氧基硅烷、C5-氯硅烷、C4-氯硅烷、或C3-氯硅烷中的一种。该尾部基团可起到防止或阻碍蚀刻氧化硅或至氧化硅上的沉积的作用。实施方式中,SAM分子(烷基硅烷)的尾部基团可包括烷基,该烷基具有超过2个碳原子、超过3个碳原子、超过4个碳原子、超过5个碳原子、或超过6个碳原子、超过8个碳原子、超过12个碳原子、超过14个碳原子、或超过16个碳原子,上述碳原子共价键合成链。部分取决于尾部基团的长度,SAM前驱物可呈气体、液体、或固体形式,可用各种适当技术将上述SAM前驱物提供至图案化基板。实施方式中,可使液体及固体汽化,且使用相对惰性的载气携带至化学气相沉积腔室。将会简略地描述用于使用液体前驱物沉积自组装单层的示例性硬件。
特别是当正在描述尾部基团(TM)与头部基团(HM)及前驱物与图案化基板之间细微的交互作用时,用于沉积本文的自组装单层的SAM前驱物可描述为SAM分子。大体而言,实施方式中,尾部基团可以是线性或支链的烷基链,或者可以是环状碳氢化合物。根据实施方式,尾部基团可包括碳与氢,或由碳与氢组成。实施方式中,无论形状为何,该尾部基团可为氟化的碳氢化合物且可包括碳、氢、与氟或是由碳、氢、与氟所组成。该头部基团可以是甲氧基硅烷(例如,二甲氧基硅烷或三甲氧基硅烷)、乙氧基硅烷(例如,二乙氧基硅烷或三乙氧基硅烷)、胺硅烷(amine silane)、氨基硅烷、硅氮烷(silazane)、或氯硅烷。实施方式中,SAM前驱物可具有尾部,该尾部是氟化烷基硅烷。根据实施方式,该SAM分子可以是n-丙基三甲氧基硅烷、n-辛基三甲氧基硅烷、或三甲氧基(十八烷基)硅烷中的一或多种。实施方式中,SAM前驱物可具有尾部,该尾部是苯基,且该SAM前驱物可以是苯基烷基硅烷。
实施方式中,暴露的硅(若存在的话)与暴露的氮化硅不会被影响氧化硅的相同的化学制备所化学修饰,因此可能不会发展羟基封端,且可能不会后续与SAM前驱物反应。通过以下方式由SAM前驱物形成SAM:从气相或是液相,头部基团化学吸附至基板上,接着将在氧化硅键合位置的远端的尾部基团大体上对齐排列。根据实施方式,该尾部基团不会化学键合至硅、氧化硅、或氮化硅中的任一个。一旦在暴露的氧化硅部分上的所有氧化硅键合位置被SAM分子占据,则键合程序可能中止,变成自限制程序。
根据实施方式,在选择性蚀刻暴露的氮化硅或选择性沉积额外材料至暴露的氮化硅上的操作期间,基板处理区域中的压力可大于0.5托,大于5托,大于10托,大于15托,或大于25托。实施方式中,基板处理区域中的压力可小于1000托,小于750托,小于500托,小于250托,或小于100托。所有参数的上限可与相同参数的下限组合,而形成另外的实施方式。根据实施方式,本文所述的选择性蚀刻及选择性沉积操作期间在基板处理区域中的压力可介于0.5托至1000托之间。较佳的实施方式中,选择性蚀刻或选择性沉积的操作期间的基板处理区域中的压力介于20托至110托之间。
实施方式中,选择性蚀刻操作期间,图案化基板的温度可介于-20℃至300℃之间或介于0℃至250℃之间。根据实施方式,在选择性沉积额外材料的操作期间,图案化基板的温度可介于-20℃至500℃之间或介于0℃至450℃之间。较佳实施方式中,选择性蚀刻暴露的氮化硅或将额外材料选择性沉积于暴露的氮化硅上的操作期间,图案化基板的温度可介于40℃至200℃或介于50℃至150℃之间。涉及无水氟化氢的常规工艺已通过使基板温度低于较佳实施方式所提供的范围,而蚀刻氧化硅蚀刻得比氮化硅快。氮化硅对于氧化硅的蚀刻选择性可处在介于55℃至75℃之间的针对图案化基板温度的最高范围内。实施方式中,该图案化基板温度可介于55℃至75℃之间。根据实施方式,在操作240、220、140、和/或120期间,该图案化基板的温度可在所有这些范围内。
自组装单层可为热稳定,且能够耐受在相对高温的热处理,所述相对高温最高达400℃,最高达450℃、或甚至最高达500℃。根据实施方式,在形成自组装单层与蚀刻暴露的氮化硅部分的各操作期间,图案化基板的温度低于400℃、低于450℃、或低于500℃。类似地,根据实施方式,在形成自组装单层与将额外材料选择性沉积至暴露的氮化硅部分上的各操作期间,图案化基板的温度低于400℃、低于450℃、或低于500℃。
在本文所述的所有蚀刻工艺中,在选择性蚀刻暴露的氮化硅的操作期间,基板处理区域可缺乏氮。例如,在氮化硅蚀刻期间,该基板处理区域可缺乏氨(或者一般地,NxHy)。经常将氨源添加至涉及无水氟化氢的常规工艺,以增强氧化硅的蚀刻速率,但在本文所述的实施方式中,此类情况是不受期望的。此类反应会减少暴露的氮化硅部分相较于暴露的氧化硅部分的选择性。
选择性沉积工艺期间与本文所述的所有沉积与蚀刻制程期间,基板处理区域可称为“无等离子体”。维持无等离子体的基板处理区域与利用本文所述的前驱物能达成氮化硅对于硅与氧化硅的高蚀刻速率选择性。类似地,维持无等离子体的基板处理区域增进暴露表面之间沉积速率有所差异。使用替代的界定,根据实施方式,在本文所述的任一或所有操作期间于基板处理区域内,电子温度可低于0.5eV、低于0.45eV、低于0.4eV、或低于0.35eV。本文所述的工艺的优点包括等离子体损伤的减少,这是透过使用主要为中性的物质执行选择性氮化硅蚀刻与沉积工艺而达成。常规的本地(local)等离子体工艺可包括溅射与轰击成分。本文所述的工艺的另一优点包括,相较于传统湿蚀刻工艺,图案化基板上精细特征上的应力减少,该传统湿蚀刻工艺可因液体蚀刻剂的表面张力所致而引发小特征的弯折与剥离。
实施方式中,SAM前驱物可沉积在图案化层的两个或更多个化学上有区别的部分上,但可能在该两个部分的仅只一个部分上形成共价键。其余的部分上,前驱物可透过物理性吸附而结合,这意味在前驱物与第二暴露表面部分之间没有共价键合。在此情境中,可容易移除物理性吸附的前驱物,同时允许化学性吸附(共价键合)的前驱物留下。这是用于本文所述的所有工艺的生产选择性沉积SAM层的替代方法。
图3A与图3B是根据实施方式的选择性蚀刻期间及之后的图案化基板的侧视图。图3C与图3D是根据实施方式的选择性沉积期间与之后的图案化基板的侧视图。在图3A与图3C中,自组装单层310生长,选择性沉积在图案化基板301的暴露的氧化硅部分305上,但自组装单层310不沉积在暴露的氮化硅部分315上。图3B指出,蚀刻剂(例如,无水HF)移除暴露的氮化硅部分315但在图案化基板301上留下暴露的氧化硅305。类似地,图3D指出,沉积前驱物添加材料(额外层320)至暴露的氮化硅部分315上但不添加材料至图案化基板301的暴露的氧化硅部分305上。实施方式中,该额外的沉积可仅在无SAM涂层的区域上进行。对于选择性蚀刻与选择性沉积而言,本文所述的方法相较于将依赖光刻图案化的传统方法可节省成本及增加覆盖正确性。SAM选择性沉积之后,后续沉积额外材料层320亦可称为选择性沉积,但其为选择性沉积的SAM层的反向影像。后续沉积的膜在完整的集成电路的效用上或是进一步的处理上可具有更大的可利用性(相较于SAM)。
现在将描述示例性的硬件。图4A示出示例性基板处理腔室1001的截面图,且基板处理腔室1001内有分隔区域。膜蚀刻期间,处理气体可流过气体入口组件1005且进入远程区域1015。图中显示冷却板1003、面板1017、离子抑制件1023、喷头1025与基板支撑件1065(亦已知为基座,上面设置有基板1055),且根据实施方式,可包括上述的每个部件。基座1065可具有热交换通道,热交换流体流动通过该热交换通道,以控制基板的温度。此构造可使基板1055的温度得以被冷却或加热,以维持相对低的温度,诸如介于-40℃至500℃之间。基座1065可使用嵌入式加热器元件电阻式加热到相对高的温度,诸如介于100℃至1100℃之间。
示例性构造可包括使气体入口组件1005通往气体供应区域1058,该气体供应区域1058是由面板1017与远程区域1015分隔,使得气体/物质流过面板1017中的孔而进入远程区域1015。前驱物,例如无水HF,可穿过本文所述的喷头的实施方式流进基板处理区域1033。源自远程区域1015中的处理气体的受激发物质可包括HF且行进穿过喷头1025中的孔隙(aperture),且视情况任选地与第二前驱物组合,该第二前驱物是从喷头的分开的部分流进基板处理区域1033,该喷头可因此称为双通道喷头。视情况任选的第二前驱物(诸如硅烷或水蒸气)当以此方式与HF组合时可进一步增加氮化硅的蚀刻速率或减少硅或氧化硅的蚀刻速率。
图4B示出影响穿过面板1017的处理气体分配的特征的详细视图。用于处理腔室区段1001的气体分配组件,诸如喷头1025,可称为双通道喷头(DCSH)且另外详述于本文图4A以及图4C所述的实施方式中。双通道喷头可提供用于蚀刻工艺,这允许在基板处理区域1033外侧蚀刻剂的分开,以使得在蚀刻剂递送进入基板处理区域1033之前,蚀刻剂与腔室部件及蚀刻剂彼此之间的相互作用受限。
喷头1025可包括上板1014与下板1016。这些板可彼此耦接,以在这些板之间界定空间1018。板的耦接可使得提供第一流体通道1019与第二流体通道1021,第一流体通道1019穿过上板与下板,第二流体通道1021穿过下板1016。所形成的通道可构造成提供从空间1018穿过下板1016单独经由第二流体通道1021的流体进出,且第一流体通道1019可与这些板及第二流体通道1021之间的空间1018流体隔离。可穿过气体分配组件1025的侧面流体进出空间1018。虽然图4A至图4C的示例性系统包括双通道喷头,但应了解可利用替代性的分配组件,以维持第一前驱物与第二前驱物在基板处理区域1033之前流体隔离。例如,可利用板下方的穿孔板与管,尽管其他的构造可以减少的效能操作或不提供如所述的双通道喷头那样均匀的处理。
处理气体可流进远程区域1015,然后穿过喷头1025的第一流体通道1019。该处理气体可包括用于蚀刻的HF或是用于沉积的烷基硅烷。在本文提出的任一或所有的操作期间,于基板处理区域1033中可不生成等离子体且可不存在等离子体。在本文提出的任一或所有的操作期间,于远程区域1015中可不生成等离子体且可不存在等离子体。实施方式中,该两个区域可称为无等离子体基板处理区域1033与无等离子体远程区域1015。特别是当使用液体前驱物源时,该处理气体可包括载气,诸如氦、氩、氮(N2)等。该喷头可称为双通道喷头,这是由于进入基板处理区域的两个有区别的通路。氟化氢可流过喷头中的贯穿孔,且第二前驱物可通过双通道喷头中的分开的通道。这些分开的通道可通往基板处理区域但不通往远程区域,如前文所述。进入基板处理区域的前驱物的组合流率可占总气体混合物的体积的0.05%至约20%;而其余为载气。
图4C是实施方式中与处理腔室一并使用的喷头1025的仰视图。喷头1025对应图4A中所示的喷头。贯穿孔1031显示第一流体通道1019的视角,这些贯穿孔1031可具有多种形状与构造,以控制及影响穿过喷头1025的前驱物的流动。小孔1027显示第二流体通道1021的视角,这些小孔1027可于整个喷头表面上(甚至是在贯穿孔1031之间)实质均匀地分布,而可在这些前驱物离开喷头时助于提供相较其他构造更为均匀的前驱物的混合。
图5A与图5B是根据实施方式的基板处理设备的示意图。图5A示出用于将基板1105暴露至槽1101中的液体SAM前驱物溶液1115-1的硬件。可使用机械手将基板1105下降到溶液1115-1中,且在处理期间该基板1105可由基板支撑件1110支撑。图5B示出替代性的硬件,该替代性硬件旋转基板1105同时将液体SAM前驱物溶液1115-2从分配器1120倾倒遍及基板的顶表面。
本文所述的系统的实施方式可并入更大的制造系统,以生产集成电路芯片。图6显示实施方式中的沉积、蚀刻、烘烤、与固化腔室的一种此类处理系统(主框架)2101。图中,一对前开式标准舱(装载锁定腔室2102)供应各种尺寸的基板,在这些基板被放进基板处理腔室2108a-f中的一个之前,这些基板是由机械手臂2104接收并放置到低压固持区域2106。第二机械手臂2110可用于从固持区域2106传输基板至基板处理腔室2108a-f并且往回传输。每一基板处理腔室2108a-f可被装配成执行多个基板处理操作,这些操作除了循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气、定向及其他基板处理之外,还包括在此所述的干式蚀刻工艺。
全文中所用的术语“间隙”(gap)非暗指经蚀刻的几何形状具有大的水平尺度比(horizontal aspect ratio)。由表面上方来看,间隙可显现圆形、椭圆形、多边形、矩形或各种其他形状。“沟槽”是长的间隙。沟槽可以呈现材料岛状物周围的壕沟(moat)形状,而该沟槽的长宽比是壕沟的长度或外周除以壕沟宽度。术语“通孔”(via)用于指可或可不被金属填充而形成垂直的电连接的低深宽比沟槽(由上方观看)。如在此所用,共形蚀刻工艺是指以与表面相同的形状大体上均匀地移除表面上的材料,即经蚀刻的层的表面与蚀刻前的表面大体上平行。发明所属技术领域中具有普通技术的人员将了解经蚀刻的界面可能不会100%共形,因此术语“大体上”容许可接受的容差。
在此所使用的“基板”可为具有(或不具有)形成在上面的多个层的支撑基板。该图案化基板可为有各种掺杂浓度及掺杂分布曲线(doping profile)的绝缘体或半导体,且例如可为用在集成电路制造上的类型的半导体基板。图案化基板的暴露的“氧化硅”主要是SiO2但可包括其他元素组分(诸如氮、氢、与碳)的浓度。在一些实施方式中,本文描述的氧化硅部分由硅与氧组成或基本上由硅与氧组成。图案化基板的暴露的“氮化硅”或“SiN”主要是Si3N4但可包括其他元素组分(诸如氮、氢、与碳)的浓度。在一些实施方式中,使用在此披露的方法所蚀刻的氮化硅部分由硅与氮组成或基本上由硅与氮组成。
术语“前驱物”是用于指任何参与反应以从表面移除材料或沉积材料在表面上的处理气体。短语“惰性气体”是指在蚀刻或被并入膜中时不形成化学键合的任何气体。示例性的惰气包括稀有气体,但可包括其他气体,只要当(一般而言)在膜中捕获到痕量的该气体时不形成化学键合即可。
已在此公开数个实施方式,发明所属技术领域中具有普通技术的人员应当知晓在不背离所公开的实施方式的精神下可使用各种变型、替代架构与等效形式。此外,为了避免不必要地混淆本案的实施方式,没有对多种公知的工艺及元件做出描述。因此,上述说明不应视为对权利要求的范围的限制。
在提供一数值范围时,除非本文另有明确指定,否则应理解亦特定地公开该范围的上限与下限之间的每一中间值,精确度为至下限单位的十分之一。亦涵盖在陈述范围中的任一所述值(或中间值)与在彼陈述范围中的任一其他所述值(或中间值)之间的每一较小范围。这些较小范围的上限值与下限值可独立包含或排除于该范围中,且其中在该较小范围内包含任一个极限值、不含极限值或包含两个极限值的各范围皆涵盖在权利要求范围内,除非在该陈述的范围中有任何特别排除的极限。在所陈述的范围包括极限值的一者或两者之处,该范围亦包括该些排除其中任一者或两者被包括的极限值的范围。
在本文与所附的权利要求书中所使用的单数形式“一”(a、an)与“所述”(the)亦包括多个参考对象,除非本文中另外清楚指明。因此,举例而言,所指代的“一种工艺”(aprocess)包括多个此类工艺,而指代的“所述介电材料”(the dielectric material)包括一或多种介电材料以及该领域普通技术人员所熟知的这些材料的等效物等。
同样,在此说明书与下述申请专利范围中所用的“包含”与“包括”等用语意欲指出存在所陈述的特征、整体、部件或步骤,但这些用语不排除存在或增加一或多种其他特征、整体、部件、步骤、动作或群组。

Claims (15)

1.一种从图案化基板移除氮化硅的方法,所述方法包括:
(i)选择性形成一部分层而覆于所述图案化基板的数个氧化硅部分上,但不覆于所述图案化基板的数个氮化硅部分上,其中不施加任何形式的光刻术而使所述部分层在形成后图案化;和
(ii)从所述氮化硅部分选择性蚀刻所述氮化硅比从所述氧化硅部分蚀刻氧化硅蚀刻得快。
2.如权利要求1所述的方法,其中不施加光刻术即发生选择性蚀刻所述氮化硅。
3.如权利要求1所述的方法,其中操作(i)发生在操作(ii)之前。
4.如权利要求3所述的方法,其中重复操作(i)与(ii)达整数次。
5.如权利要求1所述的方法,其中操作(i)与操作(ii)同步发生。
6.一种从图案化基板蚀刻氮化硅的方法,所述方法包括:
提供图案化基板,所述图案化基板具有暴露的氮化硅部分与暴露的氧化硅部分;
将所述图案化基板暴露至烷基硅烷前驱物;
于所述暴露的氧化硅部分上形成自组装单层,但不在所述暴露的氮化硅部分上形成所述自组装单层;
将所述图案化基板暴露至含卤素前驱物;
以一氮化硅蚀刻速率从所述暴露的氮化硅部分蚀刻所述氮化硅,同时以一氧化硅蚀刻速率从所述暴露的氧化硅部分移除所述氧化硅,所述氧化硅蚀刻速率小于所述氮化硅蚀刻速率的百分之一。
7.如权利要求6所述的方法,进一步包括:在蚀刻所述氮化硅之后移除所述自组装单层。
8.如权利要求6所述的方法,其中形成所述自组装单层是在蚀刻所述氮化硅前发生。
9.如权利要求6所述的方法,其中将所述图案化基板暴露至所述烷基硅烷前驱物是与将所述图案化基板暴露至所述含卤素前驱物同步发生。
10.如权利要求6所述的方法,其中形成所述自组装单层与蚀刻所述氮化硅皆发生在所述图案化基板处于无等离子体的基板处理区域时。
11.如权利要求6所述的方法,其中所述含卤素前驱物包括无水HF。
12.如权利要求6所述的方法,其中所述自组装单层的每一分子包括头部基团与尾部基团,所述头部基团与所述暴露的氧化硅部分形成共价键,且所述尾部基团延伸远离所述图案化基板。
13.一种将额外层选择性沉积至图案化基板上的方法,所述方法包括:
提供图案化基板,所述图案化基板具有暴露的氮化硅部分与暴露的氧化硅部分;
于所述暴露的氧化硅部分上形成自组装单层,但不在所述暴露的氮化硅部分上形成所述自组装单层;
将所述图案化基板暴露至沉积前驱物;
将额外材料沉积至所述暴露的氮化硅部分上比沉积至所述氧化硅部分上要快至少一百倍。
14.如权利要求13所述的方法,其中沉积所述额外材料是于选择性形成所述自组装单层之后发生。
15.如权利要求13所述的方法,其中选择性形成所述自组装单层及将额外材料沉积至所述暴露的氮化硅部分上各自发生在所述图案化基板位于无等离子体的基板处理区域中时。
CN201680066727.7A 2015-11-20 2016-10-28 氧化硅的自对准遮蔽 Pending CN108352303A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562258122P 2015-11-20 2015-11-20
US62/258,122 2015-11-20
US15/235,048 2016-08-11
US15/235,048 US9875907B2 (en) 2015-11-20 2016-08-11 Self-aligned shielding of silicon oxide
PCT/US2016/059493 WO2017087138A1 (en) 2015-11-20 2016-10-28 Self-aligned shielding of silicon oxide

Publications (1)

Publication Number Publication Date
CN108352303A true CN108352303A (zh) 2018-07-31

Family

ID=58717648

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680066727.7A Pending CN108352303A (zh) 2015-11-20 2016-10-28 氧化硅的自对准遮蔽

Country Status (5)

Country Link
US (1) US9875907B2 (zh)
KR (1) KR20180072838A (zh)
CN (1) CN108352303A (zh)
TW (1) TW201729283A (zh)
WO (1) WO2017087138A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
WO2019055508A1 (en) * 2017-09-12 2019-03-21 Applied Materials, Inc. SELECTIVE REMOVAL OF CHEMICAL ENGRAVING DEPOSITION DEFECTS
US11823909B2 (en) 2018-01-16 2023-11-21 Lam Research Corporation Selective processing with etch residue-based inhibitors
TWI716818B (zh) * 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
WO2021011761A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Method for mitigating laterial film growth in area selective deposition
WO2021044882A1 (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 成膜方法
KR20220084153A (ko) * 2019-10-18 2022-06-21 램 리써치 코포레이션 SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착
US11903328B2 (en) * 2020-02-07 2024-02-13 International Business Machines Corporation Self assembled monolayer formed on a quantum device
JP2023531617A (ja) * 2020-06-23 2023-07-25 ラム リサーチ コーポレーション 阻害剤としてグラフェンを使用する選択的堆積

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120264308A1 (en) * 2011-04-14 2012-10-18 Tokyo Electron Limited Etching method, etching apparatus and storage medium
US20130065400A1 (en) * 2011-09-12 2013-03-14 Yasuhito Yoshimizu Etching method
US20150294863A1 (en) * 2014-04-10 2015-10-15 Applied Materials Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3d structure semiconductor applications

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6146767A (en) 1996-10-17 2000-11-14 The Trustees Of Princeton University Self-assembled organic monolayers
US6328814B1 (en) 1999-03-26 2001-12-11 Applied Materials, Inc. Apparatus for cleaning and drying substrates
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
US20080146011A1 (en) 2006-12-14 2008-06-19 Konkuk University Industrial Cooperation Corp. Method of forming self-assembled monolayer on ito film
KR100841170B1 (ko) 2007-04-26 2008-06-24 삼성전자주식회사 저저항 금속 배선 형성방법, 금속 배선 구조 및 이를이용하는 표시장치
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
KR101096031B1 (ko) 2009-03-31 2011-12-19 한양대학교 산학협력단 자기조립단분자막 형성방법과 이를 이용한 반도체 소자의 구리배선 및 그의 형성방법
US8286517B2 (en) 2009-06-02 2012-10-16 The United States of America as represented by the Administrator of the U.S. Environments Protection Agency Aerosol particle deposition on surfaces
EP2264460A1 (en) 2009-06-18 2010-12-22 Nxp B.V. Device having self-assembled-monolayer
WO2011050073A1 (en) 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
FR2971369B1 (fr) 2011-02-04 2013-03-08 Commissariat Energie Atomique Procede de fabrication d'une monocouche autoassemblee d'injection
TW201509245A (zh) 2013-03-15 2015-03-01 Omg Electronic Chemicals Llc 用於在金屬表面上形成自組裝單層的方法及包含自組裝單層的印刷電路板
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120264308A1 (en) * 2011-04-14 2012-10-18 Tokyo Electron Limited Etching method, etching apparatus and storage medium
US20130065400A1 (en) * 2011-09-12 2013-03-14 Yasuhito Yoshimizu Etching method
US20150294863A1 (en) * 2014-04-10 2015-10-15 Applied Materials Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3d structure semiconductor applications

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory

Also Published As

Publication number Publication date
US20170148640A1 (en) 2017-05-25
TW201729283A (zh) 2017-08-16
KR20180072838A (ko) 2018-06-29
US9875907B2 (en) 2018-01-23
WO2017087138A1 (en) 2017-05-26

Similar Documents

Publication Publication Date Title
CN108352303A (zh) 氧化硅的自对准遮蔽
TWI689012B (zh) 電漿輔助原子層蝕刻的方法
CN111247269B (zh) 介电膜的几何选择性沉积
KR102424336B1 (ko) 기판 처리 방법 및 그에 의해 제조된 장치
KR102354490B1 (ko) 기판 처리 방법
US9859128B2 (en) Self-aligned shielding of silicon oxide
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TWI659122B (zh) 具有所欲成分及膜特性之矽碳化物類薄膜的取得方法
CN112670156A (zh) 氧化硅的拓扑选择性膜形成的方法
CN103748666B (zh) 选择性抑制含有硅及氧两者的材料的干式蚀刻速率
TWI571932B (zh) 採用SiOC類薄膜之基於遠程電漿的沉積
CN103243310B (zh) 在衬底表面上的等离子体激活的保形膜沉积的方法
CN105762073A (zh) 用于各向异性钨蚀刻的方法和装置
CN105900214A (zh) 通过使用远程等离子体pecvd的fcvd硬件形成的可流动碳膜
TW201921459A (zh) 選擇性蝕刻的自對準通孔製程
JP6886557B2 (ja) 改善された金属コンタクトランディング構造
JP7434272B2 (ja) 3dnandエッチング
TW201124553A (en) Oxygen-doping for non-carbon radical-component CVD films
US10964587B2 (en) Atomic layer deposition for low-K trench protection during etch
CN110419093B (zh) 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法
TW202230517A (zh) 被處理體之處理裝置
CN116529850A (zh) 硼硅膜中的硼浓度可调性
US20180174897A1 (en) Method of selective deposition for beol dielectric etch
CN111819669B (zh) 形成气隙的系统及方法
JP2006173299A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20180731