TWI803636B - 用於蝕刻期間之低介電常數溝槽保護的原子層沉積 - Google Patents

用於蝕刻期間之低介電常數溝槽保護的原子層沉積 Download PDF

Info

Publication number
TWI803636B
TWI803636B TW108117326A TW108117326A TWI803636B TW I803636 B TWI803636 B TW I803636B TW 108117326 A TW108117326 A TW 108117326A TW 108117326 A TW108117326 A TW 108117326A TW I803636 B TWI803636 B TW I803636B
Authority
TW
Taiwan
Prior art keywords
layer
low
sidewall
dielectric layer
etching
Prior art date
Application number
TW108117326A
Other languages
English (en)
Other versions
TW202013606A (zh
Inventor
盧彥典
大衛 歐米拉
安潔莉 萊利
孫興華
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202013606A publication Critical patent/TW202013606A/zh
Application granted granted Critical
Publication of TWI803636B publication Critical patent/TWI803636B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

原子層沉積(ALD)技術係作為溝槽蝕刻製程的一部分用以在硬遮罩層及低K介電質溝槽側壁上沉積一或多層。ALD層可在各種硬遮罩開口製程期間防止硬遮罩層受侵蝕。再者, ALD層可用以在低K介電溝槽蝕刻期間防止低K介電質側壁受側向蝕刻。因此,可提供對溝槽輪廓的較佳控制以及較佳的臨界尺寸控制。

Description

用於蝕刻期間之低介電常數溝槽保護的原子層沉積
相關申請案的交互參照:本申請案主張以下申請案的優先權:於2018年5月21日提交之美國臨時專利申請案第62/674,092號,發明名稱為「Atomic Layer Deposition For Low-K Trench Protection During Etch」;以及於2018年6月7日提交之美國臨時專利申請案第62/681,699號,發明名稱為「Atomic Layer Deposition For Low-K Trench Protection During Etch」,其所揭露之全部內容通過引用明確結合於此。
本發明與基板的處理相關。特別是,本發明提供用於在基板中形成溝槽之方法。
在基板中溝槽的形成已久為人知。舉例而言,半導體晶圓的處理通常涉及溝槽的形成。在一個實施例中,溝槽在基板的低介電常數(低K)材料中形成。關於半導體晶圓,在低K材料中溝槽的形成,習知是在後段製程(BEOL)處理期間使用。這樣的溝槽的一種用途係用於形成基板中金屬互連導線之間的介層窗。可使用廣泛範圍的低K材料,包含但不限於:摻雜二氧化矽(氟、碳、及其他摻雜物)、旋塗聚合物(包含有機以及基於矽的聚合物)、多孔氧化物等等,全都為本領域所熟知。這樣的低K材料提供低於二氧化矽的介電常數。如 此處所使用,「低K」包含某些可視為「超低K」材料的材料。因此,「低K」包含低K或更低介電常數的材料。
隨著在基板上形成之特徵部的臨界尺寸(CD)持續縮小,蝕刻溝槽持續變得更有挑戰性。習知處理流程包含使用光微影製程以在其中需要溝槽的低K材料上形成硬遮罩。一個示例性結構─結構100,示於圖1中。如圖1中所示,金屬化層105已在介電層110中形成。如所示,提供障蔽低k(BLOK)材料(如BLOK層115所指出的)。在此技術領域中已知BLOK層係用以作為障蔽及蝕刻停止層運作。BLOK材料提供比諸如氮化矽之替代的障蔽及蝕刻停止層更低的介電常數。在BLOK層115上方提供低K介電層120(最終將在其中形成溝槽)。在低K介電層120上方,可提供複數個圖案化層/硬遮罩層。舉例而言,在圖1的實施例中,提供上硬遮罩層135及金屬硬遮罩層130的圖案化堆疊。舉例而言,在一實施例中,上硬遮罩層135可能是氧化矽(SiO2)或氮化矽(SiN)而金屬硬遮罩層130可能是氮化鈦(TiN)。如圖1中所示,上硬遮罩層135及金屬硬遮罩層130已圖案化以形成圖案化特徵部。這樣的圖案化可藉由微影技術廣泛範圍的任何者加以完成,這些全部皆為本領域所熟知。如圖1中所示,亦提供位於該金屬硬遮罩層下方的下硬遮罩層125,使得下硬遮罩層125位在該圖案化特徵部下方。在一實施例中,下硬遮罩層125可能係SiN硬遮罩。
在習知製程中,如所熟知的,電漿製程可用於下硬遮罩層125的蝕刻。然而,金屬硬遮罩層130可能在下硬遮罩層125蝕刻的期間受侵蝕。這樣的侵蝕可造成比所期望更大的臨界尺寸。這樣狀況的一個例子在圖2中所見,其中侵蝕位置205說明金屬硬遮罩層130的侵蝕。
再者,在習知製程(再次地,電漿製程)的溝槽蝕刻期間,用以蝕刻低K介電層120的低K蝕刻製程可導致形成不是實質上垂直地直立而是呈現諸如曲折305的非所欲之特徵(如圖3中所示)的側壁。這樣的形狀可由低K 介電層120的側向蝕刻所導致。隨著臨界尺寸縮小,這樣的側壁特別成問題。再者,在蝕刻後留存的低K材料細線可產生非所欲之線扭動。此外,低K材料的側壁可呈現非所欲之損害。在一個示例中,使用溝槽蝕刻使得溝槽與金屬化層105對準,以提供到金屬化層105的電接連。
應付這些問題的一個嘗試係使用硬遮罩及低K蝕刻製程,這產生了大量聚合物。這樣的聚合物形成製程可幫助應付側壁損害及曲折輪廓。然而,此聚合物的產生一般減緩低K電漿蝕刻的蝕刻率,使得基板產量受負面影響。
在一實施例中,期望的是提供改善的溝槽形成製程,其應付至少某些上述的問題。在另一實施例中,期望的是提供用於在低K材料中形成溝槽的改善製程。
在一實施例中,原子層沉積(ALD)技術係作為溝槽蝕刻製程的一部分用以在硬遮罩層及低K介電質溝槽側壁上沉積一或多層。(一或多個)ALD層可在各種硬遮罩開口製程期間防止(一或多個)硬遮罩層受侵蝕。再者,(一或多個)ALD層可用以在低K介電溝槽蝕刻期間防止低K介電質側壁受側向蝕刻。因此,可提供對溝槽輪廓的較佳控制以及較佳的臨界尺寸控制。在一個實施例中,(一或多個)ALD層可能為SiO2或SiN犧牲層。在一個實施例中,提供侵蝕控制的硬遮罩層係金屬硬遮罩。在一個實施例中,該方法在下方硬遮罩層蝕刻期間提供侵蝕控制,該下方的硬遮罩在一實施例中可能是SiN硬遮罩。
在一個實施例中,描述一種蝕刻基板以形成溝槽的方法。該方法可包含:在該基板上提供一第一圖案化特徵部、以及在該基板上提供一低K介電層,該低K介電層在該第一圖案化特徵部下方。該方法可更包含根據由該第一圖案化特徵部所形成之一溝槽圖案來蝕刻該低K介電層的一第一部分。在蝕刻該低 K介電層的該第一部分之後,該方法可包含在該低K介電層的裸露側壁表面上形成一第一側壁層,該第一側壁層的形成係由一第一原子層沉積製程所執行。在該低K介電層的裸露側壁表面上形成該第一側壁層之後,該方法可包含根據該溝槽圖案執行該低K介電層的一第二蝕刻,在該低K介電層的第二蝕刻期間,該第一側壁層保護該低K介電層的側壁。
在另一實施例中,提供另一種蝕刻基板以形成溝槽的方法。該方法可包含:在該基板上提供一第一圖案化特徵部;在該基板上提供一低K介電層,該低K介電層在該第一圖案化特徵部下方;以及在至少該第一圖案化特徵部的側壁上提供一初始側壁層。在至少該第一圖案化特徵部的側壁上提供該初始側壁層之後,該方法可包含根據由該第一圖案化特徵部所形成之一溝槽圖案來蝕刻該低K介電層的一第一部分。在蝕刻該低K介電層的該第一部分之後,該方法包含執行在該低K介電層的裸露側壁表面上藉由一原子層沉積製程形成側壁層接著更進一步蝕刻該低K介電層的一循環製程,直到在該低K介電層中形成該溝槽,在該低K介電層的更進一步之蝕刻的期間,在裸露側壁表面上形成該側壁層保護該低K介電層的側壁。
在另一實施例中,描述一種蝕刻基板以形成溝槽的方法。該方法包含:在該基板上提供一硬遮罩層、以及在該基板上提供一第一圖案化特徵部,該第一圖案化特徵部位在該硬遮罩層的上方。該方法更包含在該基板上提供一低K介電層,該低K介電層位在該硬遮罩層的下方。該方法亦包含藉由一第一原子層沉積製程,在至少該第一圖案化特徵部的側壁上提供一第一側壁層。在至少該第一圖案化特徵部的側壁上提供該第一側壁層之後,該方法包含根據由該第一圖案化特徵部所形成之一溝槽圖案來蝕刻該硬遮罩層,該硬遮罩層的蝕刻在該硬遮罩層中複製了該溝槽圖案,並裸露出該硬遮罩層的側壁。該方法更包含藉由一第二原子層沉積製程,在至少該硬遮罩層的側壁上提供一第二側壁 層。在至少該硬遮罩層的側壁上提供該第二側壁層之後,該方法包含根據在該硬遮罩層中複製之該溝槽圖案來蝕刻該低K介電層的一部分。在蝕刻該低K介電層的該部分之後,該方法包含執行在該低K介電層的裸露側壁表面上藉由額外原子層沉積製程形成側壁層接著更進一步蝕刻該低K介電層的一循環製程,直到在該低K介電層中形成該溝槽,在該低K介電層的更進一步之蝕刻的期間,在該低K介電層的裸露側壁表面上形成之側壁層保護該低K介電層的側壁。
100:結構
105:金屬化層
110:介電層
115:BLOK層
120:低K介電層
125:下硬遮罩層
130:金屬硬遮罩層
135:上硬遮罩層
205:侵蝕位置
405:ALD層
605:第二ALD層
805:第三ALD層
藉由參考結合隨附圖式(其中相同索引號碼代表相同特徵部)的後續描述,能得到對本發明及其優點之更完整的了解。然而,應注意的是,該隨附圖式只說明所揭露概念之示例性實施例,且由於所揭露之概念可承認其他等效實施例而因此不應視為對其範疇的限制。
圖1說明用作先前技術溝槽形成製程的一部分的基板結構。
圖2-3說明用於在圖1的結構中形成溝槽之先前技術處理結果。
圖4說明第一ALD層對圖1之結構的附加。
圖5說明在蝕刻下硬遮罩層之後的圖4結構。
圖6說明第二ALD層對圖5之結構的附加。
圖7說明低K介電蝕刻施加在圖6之結構的結果。
圖8說明第三ALD層對圖7之結構的附加。
圖9說明在圖8之低k介電材料中溝槽的形成。
圖10、11、及12說明利用如此處所述之技術的方法的示例性流程圖。
在一實施例中,造成接近垂直側壁的蝕刻可透過使用併入溝槽形成處理流程中的原子層沉積(ALD)製程加以完成。ALD製程在本領域中已為人所知,且通常涉及在表面上形成非常薄的材料層。如所知地,示例性ALD製程(儘管並非全部)使用一或多個反應物,該等反應物以自限制(或接近自限制)的方式與一表面反應,使得在該表面上的層成長受附著分子的原子單層表面飽和度所限制。通常,二或多個反應物可按順序使用,使得該表面暴露於一反應物以進行一自限制反應,接著發生吹掃,然後進行針對另一自限制反應的另一反應物的暴露,再接著發生另一吹掃。可複製此循環直到達成所欲之材料厚度。此ALD方法提供可複製的、原子層級的均勻性及保形性。
在一實施例中,原子層沉積(ALD)技術係作為溝槽蝕刻製程的一部分用以在硬遮罩層及低K介電質溝槽側壁上沉積一或多層。(一或多個)ALD層可在各種硬遮罩開口製程期間防止(一或多個)硬遮罩層受侵蝕。再者,(一或多個)ALD層可用以在低K介電溝槽蝕刻期間防止低K介電質側壁受側向蝕刻。因此,可提供對溝槽輪廓的較佳控制以及較佳的臨界尺寸控制。在一個實施例中,(一或多個)ALD層可能為SiO2或SiN犧牲層。在一個實施例中,提供侵蝕控制的硬遮罩層係金屬硬遮罩。在一個實施例中,在下方硬遮罩層蝕刻期間對金屬硬遮罩提供侵蝕控制,該下方的硬遮罩在一實施例中可能是SiN硬遮罩。
此處所揭露之技術可用在基板處理流程的廣泛範圍內。僅出於說明性目的,關於圖1中的結構100,提供可利用此處所揭露之技術的基板的一個示例性材料堆疊。圖1的結構100可為期望使用圖案化特徵部之任何基板的一部分。舉例而言,在一個實施例中,該基板可能係具有一或多個半導體處理層形成於其上的半導體基板。在另一實施例中,半導體基板係半導體晶圓。在再另一實施例中,基板可能已經受多個半導體處理步驟,該等半導體處理步驟產生 各種各樣的結構及層,這些全部皆在半導體處理領域中為人所知。因此,應認知的是,基板可包含許多未示於圖1的額外層及結構。在一個示例性實施例中,結構100可用作為後段製程(BEOL)處理步驟的一部分。
應可認知到的是,將ALD製程併入低K溝槽形成製程的操作可與許多其他處理流程一同使用,且圖1的特定範例僅為示例性的。在如上所述地形成圖1的結構以在基板上提供圖案化特徵部之後,可使用初始原子層沉積製程,使得ALD層405可如圖4中所示地在基板的裸露表面上形成。因此,形成了圖案化特徵部側壁層。在一個實施例中,ALD層405可能係SiO2層。在另一實施例中,ALD層405可能係SiN層。然而,應可認知的是,可使用其他ALD材料。在一個實施例中,ALD層405可具有在小於10nm範圍的厚度,而在一個實施例中,則可具有在1到4nm範圍的厚度。可在獨立的製程工具異地形成ALD層405;或者可在與先前處理步驟(例如金屬硬遮罩層130蝕刻步驟)結合使用之相同製程工具中原地形成ALD層405、或是在與後續處理步驟(例如下硬遮罩層125蝕刻步驟)結合使用之相同製程工具原地形成ALD層405、或是與上述兩者結合而原地形成ALD層405。因此,在一個實施例中,在下硬遮罩層125開口步驟之前,將ALD層405(在一個示例中是具有2到4nm厚度的SiO2或SiN)如圖4中所示地沉積在基板表面上。如上方所提及,ALD材料可異地或原地形成。
ALD層405形成之後,如圖5中所示,將下硬遮罩層125蝕刻開通,裸露出硬遮罩側壁並且在下硬遮罩層125中複製溝槽圖案,以將溝槽圖案轉移至低K介電層120中。如圖5中所示,作為下硬遮罩層125之開口的一部分,在金屬硬遮罩層130上的上硬遮罩層135(在此例中係SiO2或SiN層)被移除或接近完全移除,而ALD層405也同樣地被如此移除。然而,如圖5中所示,在下硬遮罩層125蝕刻期間,金屬硬遮罩層130的角落較少受侵蝕,因此,溝槽可以原始CD較佳地受轉移。這樣的金屬硬遮罩侵蝕改善是由於ALD層405覆蓋金屬硬遮罩層 130的側壁而使ALD層405對金屬硬遮罩層130提供保護所致。在金屬硬遮罩蝕刻期間,ALD層405被犧牲但對其他層的側壁提供了保護。因此,較少金屬硬遮罩受侵蝕(在一個示例中是TiN金屬硬遮罩的較少侵蝕)且溝槽CF並未由於金屬硬遮罩受改變而改變,因此在原始圖案下方提供改善的複製特徵部。
在下硬遮罩層125蝕刻後,第二原子層沉積製程可用以形成第二ALD層605以作為第二側壁層,該第二側壁層如所示地保護側壁。在一個示例中,第二ALD層605可能係SiO2。在另一個示例中,第二ALD層605可能係SiN層。然而,應可認知的是,可使用其他ALD材料。圖6說明在硬遮罩層蝕刻後的第二ALD層605的形成。因此,如圖6中所示,第二ALD層605在裸露的硬遮罩層側壁上及低K介電層120的裸露表面上形成。
接著,進一步蝕刻低K介電層120以增加溝槽深度。第二ALD層605保護低K介電層120免於側向蝕刻。因此,在低K介電層120側壁上使用第二ALD層605協助防止溝槽的曲折以及低K介電層120側壁的損壞。當蝕刻發生時,第二ALD層605厚度將減少且取決於特定製程及所涉及之厚度,第二ALD層605可被完全移除,因而失去保護的功能。圖7說明在第二ALD層605被移除的這個階段的製程。
在這個階段,可利用第三原子層沉積製程使得第三ALD層805可沉積在基板的裸露表面上,如圖8中所示以提供第三側壁層,該第三側壁層如所示地保護側壁。因此如所示地,已沉積第三ALD層以對裸露之低K介電層及遮罩表面提供保護。接著低K介電質蝕刻將再次開始。可重複多次此ALD/低K介電質蝕刻循環直到溝槽深度達到目標,舉例來說,可使用例如第四、第五等等的低K介電質蝕刻。在低K介電質蝕刻製程期間,因為在溝槽底部及側壁上通常存在ALD層,因此溝槽輪廓將是筆直或接近筆直的,這消除了曲折輪廓的形成。再者,ALD層可防止低K介電層120的過度側向蝕刻,使得留存的低K介電層120之 線將具有足夠厚度以防止線擺動的發生。如此描述了ALD層的形成及低K介電蝕刻步驟的循環製程。在一個實施例中,執行ALD層形成及低k介電質蝕刻步驟的至少兩循環。在一較佳實施例中,在相同處理工具中原地(無論是在不同的處理腔室中或是在相同的處理腔室中)執行這些循環步驟。最終,可將低K介電層如圖9中所示地完全回蝕刻到BLOK層115。
在處理流程中的此階段,使用在本領域中已知的標準技術可直接蝕刻BLOK層115以裸露出下方的金屬層。替代地,相似於上述之技術,可沉積最後一層ALD層以在BLOK蝕刻期間保護低K層側壁。以此方式,在低K介電層側壁上使用一或多個ALD層協助提供穿過低K介電層的介層窗,該介層窗在介層窗溝槽蝕刻的期間並沒有形成曲折。因此,在溝槽形成的期間使用ALD技術提供了相較於習知技術而言更可靠的溝槽結構。
因此,已提供溝槽形成技術,其中ALD層可用以在低K介電材料中產生較佳的可控制溝槽。所使用之特定ALD製程可能係在本領域中所知的各種各樣ALD製程的任何者。該製程可併入標準電漿蝕刻製程,使得ALD層的形成,若是期望的話,可與蝕刻製程原地形成。該製程可與各種各樣的製程工具一同使用,且可與各種各樣待蝕刻的材料一同使用。如此處所述,在金屬硬遮罩蝕刻之前、在低K層蝕刻之前以及循環地在低K蝕刻製程期間形成ALD層。然而,應可認知的是,並不需要在所述的每個製程步驟使用ALD層的形成以得到此處所描述之技術的好處。因此,舉例而言,ALD形成可只在金屬硬遮罩蝕刻之前發生。或者在另一實施例中,ALD形成可只用作ALD形成/低K蝕刻製程之循環的一部分。而在其他替代方案中,可使用此處所述之ALD形成步驟的其他組合而仍可得到至少某些此處所描述之優點。
在一個實施例中,將相同材料用於在低K介電質側壁上之ALD及低K介電層蝕刻的循環製程期間所形成之所有側壁。在一個實施例中,亦可將相 同材料用於ALD側壁,形成該ALD側壁以在硬遮罩開口蝕刻步驟期間提供保護。然而,應可認知到的是各種ALD層並不必要是相同材料。再者,第一材料可用於低K介電質側壁的保護而另一材料可用於在硬遮罩開口蝕刻期間提供保護。因此,應可認知的是此處所描述之特定製程步驟及材料僅為示例性的且可使用其他材料及組合而仍可得到至少某些此處所描述的優點。
應可認知的是可使用廣泛範圍的ALD製程以形成用作如此處所述之側壁層的ALD層。因此,所述之技術並不限於特定沉積製程。在一個示例性實施例中,ALD層可能是透過使用包含矽(Si)前驅物及氧(O)源的ALD製程所形成的SiO2,該ALD製程具有循環製程將基板暴露於像是矽烷之矽前驅物氣體、接著再將該基板暴露於像是臭氧(O3)之氧化氣體。沉積步驟是沒有基於電漿的或是電漿輔助的。(例如:LTO-520(一種胺基矽烷化學物質)、或三(二甲基胺基)矽烷(3DMAS)、或其他基於矽的前驅物,與臭氧或電漿SiO2交替暴露,並防止兩種成分混合。)在一個實施例中,ALD是一種製程,其中將習知化學氣相沉積(CVD)製程分成獨立的沉積步驟,藉由在每個步驟中接續地沉積單原子單層以建構薄膜。ALD技術是基於藉由化學吸附形成反應前驅物分子的飽和單層的原理。典型ALD製程由注入第一前驅物一段時間直到在基板上形成飽和單層所構成。接著,使用惰性氣體將該第一前驅物從腔室吹掃。接著也注入第二前驅物到腔室中一段時間,因此,由第二前驅物與第一前驅物的反應而在晶圓上形成一層。接著,將該第二前驅物從腔室吹掃。將引入第一前驅物、吹掃製程腔室、引入第二前驅物、以及吹掃製程腔室的此製程重複多次以達成所欲厚度的薄膜。然而,應可認知的是,此處所描述知技術可與替代的ALD製程及設備使用。
同樣地,在一示例性實施例中,ALD層可能是透過使用包含Si前驅物及氮(N)源的ALD製程所形成的SiN,該ALD製程具有循環製程將基板暴 露於像是矽烷之矽前驅物氣體、接著再將該基板暴露於以熱或電漿活化的含氮氣體(像是氨(NH3))。沉積步驟是沒有基於電漿的或是電漿輔助的。
在一個實施例中,ALD層可經由單個ALD方法形成。在另一實施例中,ALD層可由二(或更多)不同層的複合物所構成,每一層係使用不同ALD方法所形成,以提供是複合側壁層的ALD層。舉例而言,在一個多層複合物之ALD層的實施例中,ALD方法的第一複合層可使用包含O或N(例如臭氧)之反應物源以較低的能量形成,以製造薄膜同時將對下方的低K介電層的傷害最小化。接著,後續ALD層的複合層可以較高能量ALD方法形成,諸如使用包含O以及/或者N的電漿,以增加沉積速率並調整薄膜特性(例如比第一複合層更佳的蝕刻抗性)。以此方式,可形成呈多層複合物的ALD層,且第一層可以比第二層更低的能量形成。因此在一個實施例中,提供一種方法,其中,使用第一ALD方法及第二ALD方法,形成各種側壁層的至少一個以作為複合側壁層,第一ALD方法形成下部複合側壁層而第二ALD方法形成在該下部複合側壁層上的上部複合側壁層,該第一ALD方法在比第二ALD方法更低的能量執行。在一個實施例中,下部複合側壁層係由非電漿ALD方法所形成而上部側壁層係由電漿ALD方法所形成。
應可理解的是,此處所描述之各種蝕刻步驟可以廣泛範圍的方式完成。舉例而言,在一個示例性實施例中,下部硬遮罩蝕刻步驟可能係基於氟化碳化學品的電漿蝕刻,而低K介電蝕刻步驟可能係基於具有較低氟/碳比或是較高稀釋氣體濃度化學成分的氟化碳化學品的電漿蝕刻。如所提及的,這些沉積及蝕刻範例僅為示例性的,且可使用其他範例。
應可認知的是,上述之處理流程僅為示例性的,且許多其他製程及應用可有利地運用此處所揭露之技術。圖10-12說明使用此處所述之處理技術的示例性方法。應可認知的是,圖10-12的實施例僅為示例性的且額外的方法可 使用此處所述之技術。再者,由於所述之步驟並不無意圖為排他性的,因此可將額外的處理步驟添加至示於圖10-12中的方法。更甚者,由於不同順序可能發生以及/或者各步驟可結合或同時執行,因此步驟的順序並不限於圖中所示的順序。
在圖10中,說明一種蝕刻基板以形成溝槽的方法。該方法包含:在基板上提供第一圖案化特徵部的步驟1005、以及在基板上提供低K介電層的步驟1010,該低K介電層在該第一圖案化特徵部下方。該方法更包含步驟1015,根據由該第一圖案化特徵部所形成之溝槽圖案來蝕刻該低K介電層的第一部分。在蝕刻該低K介電層的第一部分之後,該方法包含步驟1020,在低K介電層的裸露側壁表面上形成第一側壁層,第一側壁層的形成係由第一原子層沉積製程所執行。在該低K介電層的裸露側壁表面上形成該第一側壁層之後,該方法包含步驟1025,根據該溝槽圖案執行低K介電層的第二蝕刻,在低K介電層的第二蝕刻期間,該第一側壁層保護該低K介電層的側壁。
在圖11中,說明一種蝕刻基板以形成溝槽的方法。該方法包含:在基板上提供第一圖案化特徵部的步驟1105;以及在基板上提供低K介電層的步驟1110,該低K介電層在該第一圖案化特徵部下方。該方法更包含步驟1115,在至少第一圖案化特徵部的側壁上提供初始側壁層。在至少第一圖案化特徵部的側壁上提供初始側壁層之後,該方法包含步驟1120,根據由該第一圖案化特徵部所形成之溝槽圖案來蝕刻該低K介電層的第一部分。在蝕刻該低K介電層的第一部分之後,該方法包含步驟1125,執行在低K介電層的裸露側壁表面上藉由原子層沉積製程形成側壁層接著更進一步蝕刻低K介電層的循環製程,直到在低K介電層中形成溝槽,在低K介電層的更進一步之蝕刻的期間,在裸露側壁表面上形成該側壁層保護該低K介電層的側壁。
在圖12中,說明一種蝕刻基板以形成溝槽的方法。該方法包含:在基板上提供硬遮罩層的步驟1205;以及在基板上提供第一圖案化特徵部的步驟1210,該第一圖案化特徵部位在該硬遮罩層的上方。該方法更包含:在基板上提供低K介電層的步驟1215,該低K介電層位在該硬遮罩層的下方;以及步驟1220,藉由第一原子層沉積製程,在至少該第一圖案化特徵部的側壁上提供第一側壁層。在至少該第一圖案化特徵部的側壁上提供第一側壁層之後,該方法包含步驟1225,根據由該第一圖案化特徵部所形成之溝槽圖案來蝕刻該硬遮罩層,該硬遮罩層的蝕刻在該硬遮罩層中複製了溝槽圖案,並裸露出該硬遮罩層的側壁。該方法更包含步驟1230,藉由第二原子層沉積製程,在至少該硬遮罩層的側壁上提供第二側壁層。在至少該硬遮罩層的側壁上提供第二側壁層之後,該方法包含步驟1235,根據在硬遮罩層中複製之溝槽圖案來蝕刻該低K介電層的一部分。在蝕刻該低K介電層的該部分之後,該方法包含步驟1240,執行在低K介電層的裸露側壁表面上藉由額外原子層沉積製程形成側壁層接著更進一步蝕刻低K介電層的循環製程,直到在低K介電層中形成溝槽,在低K介電層的更進一步之蝕刻的期間,在該低K介電層的裸露側壁表面上形成側壁層保護該低K介電層的側壁。
有鑑於此說明書,本發明的更進一步的變化及替代實施例將對精於本項技術者而言顯而易見。因此,此說明書僅被視為說明性的且用於教示精於本項技術者執行本發明之方法的目的。應理解的是,所示及所述之本發明的形式及方法視為當前較佳實施例。等效技術可取代此處所說明及描述的那些,且本發明之特定特徵部可獨立於其他特徵部的使用而被利用,這些全部將對精於本項技術者而言在受益於本發明的說明後顯而易見。

Claims (19)

  1. 一種蝕刻基板以形成溝槽的方法,該方法包含:在該基板上提供一第一圖案化特徵部;在該基板上提供一低K介電層,該低K介電層在該第一圖案化特徵部下方;根據由該第一圖案化特徵部所形成之一溝槽圖案來蝕刻該低K介電層的一第一部分;在蝕刻該低K介電層的該第一部分之後,在該低K介電層的裸露側壁表面上形成一第一側壁層,形成該第一側壁層的步驟係由一第一原子層沉積製程所執行;在該低K介電層的裸露側壁表面上形成該第一側壁層之後,根據該溝槽圖案執行該低K介電層的一第二蝕刻,在該低K介電層的第二蝕刻期間,該第一側壁層保護該低K介電層的側壁;以及在至少該圖案化特徵部的側壁上形成一圖案化特徵部側壁層,該圖案化特徵部側壁層係由一初始原子層沉積製程所執行,在蝕刻該低K介電層的一第一部分之前完成該圖案化特徵部側壁層。
  2. 如申請專利範圍第1項之蝕刻基板以形成溝槽的方法,其中在該低K介電層的第二蝕刻之後,在該低K介電層的裸露側壁表面上形成一第二側壁層,形成該第二側壁層的步驟係由一第二原子層沉積製程所執行。
  3. 如申請專利範圍第2項之蝕刻基板以形成溝槽的方法,其中在該低K介電層的裸露側壁表面上形成該第二側壁層之後,根據該溝槽圖案執行該低K 介電層的一第三蝕刻,在該低K介電層的第三蝕刻期間,該第二側壁層保護該低K介電層的側壁。
  4. 如申請專利範圍第3項之蝕刻基板以形成溝槽的方法,其中在該低K介電層的第三蝕刻之後,在該低K介電層的裸露側壁表面上形成一第三側壁層,形成該第三側壁層的步驟係由一第三原子層沉積製程所執行。
  5. 如申請專利範圍第4項之蝕刻基板以形成溝槽的方法,其中在該低K介電層的裸露側壁表面上形成該第三側壁層之後,根據該溝槽圖案執行該低K介電層的一第四蝕刻,在該低K介電層的第四蝕刻期間,該第三側壁層保護該低K介電層的側壁。
  6. 如申請專利範圍第5項之蝕刻基板以形成溝槽的方法,其中該第一側壁層、該第二側壁層、及該第三側壁層由一相同材料所形成。
  7. 如申請專利範圍第6項之蝕刻基板以形成溝槽的方法,其中該相同材料為氧化矽或氮化矽。
  8. 一種蝕刻基板以形成溝槽的方法,該方法包含:在該基板上提供一第一圖案化特徵部;在該基板上提供一低K介電層,該低K介電層在該第一圖案化特徵部下方;在至少該第一圖案化特徵部的側壁上提供一初始側壁層; 在至少該第一圖案化特徵部的側壁上提供該初始側壁層之後,根據由該第一圖案化特徵部所形成之一溝槽圖案來蝕刻該低K介電層的一第一部分;以及在蝕刻該低K介電層的該第一部分之後,執行在該低K介電層的裸露側壁表面上藉由一原子層沉積製程形成側壁層、及接著更進一步蝕刻該低K介電層的一循環製程,直到在該低K介電層中形成該溝槽,在該低K介電層的更進一步之蝕刻的期間,在裸露側壁表面上形成該側壁層的步驟保護該低K介電層的側壁。
  9. 如申請專利範圍第8項之蝕刻基板以形成溝槽的方法,其中在至少該第一圖案化特徵部的側壁上的該初始側壁層、以及在該低K介電層的裸露側壁表面上之側壁層係由一相同材料所形成。
  10. 如申請專利範圍第8項之蝕刻基板以形成溝槽的方法,其中在至少該第一圖案化特徵部的側壁上的該初始側壁層、以及在該低K介電層的裸露側壁表面上之側壁層係由氧化矽或氮化矽所形成。
  11. 如申請專利範圍第8項之蝕刻基板以形成溝槽的方法,其中發生至少兩循環的該循環製程。
  12. 如申請專利範圍第11項之蝕刻基板以形成溝槽的方法,其中在該循環製程期間形成之側壁全部由一相同材料所形成。
  13. 如申請專利範圍第12項之蝕刻基板以形成溝槽的方法,其中該相同材料為氧化矽或氮化矽。
  14. 一種蝕刻基板以形成溝槽的方法,該方法包含:在該基板上提供一硬遮罩層;在該基板上提供一第一圖案化特徵部,該第一圖案化特徵部位在該硬遮罩層的上方;在該基板上提供一低K介電層,該低K介電層位在該硬遮罩層的下方;藉由一第一原子層沉積製程,在至少該第一圖案化特徵部的側壁上提供一第一側壁層;在至少該第一圖案化特徵部的側壁上提供該第一側壁層之後,根據由該第一圖案化特徵部所形成之一溝槽圖案來蝕刻該硬遮罩層,蝕刻該硬遮罩層的步驟在該硬遮罩層中複製了該溝槽圖案,並裸露出該硬遮罩層的側壁;藉由一第二原子層沉積製程,在至少該硬遮罩層的側壁上提供一第二側壁層;在至少該硬遮罩層的側壁上提供該第二側壁層之後,根據在該硬遮罩層中複製之該溝槽圖案來蝕刻該低K介電層的一部分;在蝕刻該低K介電層的該部分之後,執行在該低K介電層的裸露側壁表面上藉由額外原子層沉積製程形成側壁層、及接著更進一步蝕刻該低K介電層的一循環製程,直到在該低K介電層中形成該溝槽,在該低K介電層的更進一步之蝕刻的期間,在該低K介電層的裸露側壁表面上形成側壁層的步驟保護該低K介電層的側壁。
  15. 如申請專利範圍第14項之蝕刻基板以形成溝槽的方法,其中該溝槽與一金屬化層對準。
  16. 如申請專利範圍第14項之蝕刻基板以形成溝槽的方法,其中在該低K介電層的裸露側壁表面上之側壁層全都係由一第一材料所形成。
  17. 如申請專利範圍第16項之蝕刻基板以形成溝槽的方法,其中該第一材料為SiO2或SiN。
  18. 如申請專利範圍第17項之蝕刻基板以形成溝槽的方法,其中該第一側壁層及該第二側壁層均由該第一材料所形成。
  19. 如申請專利範圍第14項之蝕刻基板以形成溝槽的方法,其中利用一第一ALD方法以及一第二ALD方法將該第一側壁層、該第二側壁層、或在該低K介電層的裸露側壁表面上之側壁層的至少一者形成作為一複合側壁層,該第一ALD方法形成一下部複合側壁層,而該第二ALD方法形成在該下部複合側壁層上方的一上部複合側壁層,該第一ALD方法在較該第二ALD方法更低的能量執行。
TW108117326A 2018-05-21 2019-05-20 用於蝕刻期間之低介電常數溝槽保護的原子層沉積 TWI803636B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862674092P 2018-05-21 2018-05-21
US62/674,092 2018-05-21
US201862681699P 2018-06-07 2018-06-07
US62/681,699 2018-06-07

Publications (2)

Publication Number Publication Date
TW202013606A TW202013606A (zh) 2020-04-01
TWI803636B true TWI803636B (zh) 2023-06-01

Family

ID=68533001

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108117326A TWI803636B (zh) 2018-05-21 2019-05-20 用於蝕刻期間之低介電常數溝槽保護的原子層沉積

Country Status (4)

Country Link
US (1) US10964587B2 (zh)
KR (1) KR102562862B1 (zh)
CN (1) CN110517983A (zh)
TW (1) TWI803636B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI790327B (zh) * 2017-12-08 2023-01-21 日商東京威力科創股份有限公司 使用原子層沉積保護層的高深寬比介層窗蝕刻
CN111128865A (zh) * 2019-12-18 2020-05-08 华虹半导体(无锡)有限公司 大马士革互连制程工艺
CN113808929A (zh) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 一种半导体结构的形成方法
US11424120B2 (en) 2021-01-22 2022-08-23 Tokyo Electron Limited Plasma etching techniques

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201405662A (zh) * 2012-03-07 2014-02-01 Tokyo Electron Ltd 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
TW201417181A (zh) * 2012-06-22 2014-05-01 Tokyo Electron Ltd 蝕刻及灰化期間低k材料之側壁保護
US20150091172A1 (en) * 2013-10-01 2015-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Pore sealing techniques for porous low-k dielectric interconnect

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
KR100571657B1 (ko) * 2003-12-15 2006-04-17 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
US7205226B1 (en) * 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US7338893B2 (en) 2005-11-23 2008-03-04 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
US8564103B2 (en) * 2009-06-04 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an electronic device
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9613852B2 (en) 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US9496224B2 (en) * 2014-05-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US9431297B2 (en) * 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201405662A (zh) * 2012-03-07 2014-02-01 Tokyo Electron Ltd 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
TW201417181A (zh) * 2012-06-22 2014-05-01 Tokyo Electron Ltd 蝕刻及灰化期間低k材料之側壁保護
US20150091172A1 (en) * 2013-10-01 2015-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Pore sealing techniques for porous low-k dielectric interconnect

Also Published As

Publication number Publication date
KR20190132950A (ko) 2019-11-29
US20190355617A1 (en) 2019-11-21
TW202013606A (zh) 2020-04-01
US10964587B2 (en) 2021-03-30
KR102562862B1 (ko) 2023-08-02
CN110517983A (zh) 2019-11-29

Similar Documents

Publication Publication Date Title
TWI803636B (zh) 用於蝕刻期間之低介電常數溝槽保護的原子層沉積
TWI819197B (zh) 形成結構之方法
KR102354490B1 (ko) 기판 처리 방법
TWI794133B (zh) 形成氮碳氧化矽薄膜的方法
CN109643639B (zh) 用于间隔件和硬掩模应用的硼烷介导的从硅烷和烷基硅烷物质脱氢的工艺
KR20210117157A (ko) 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11676852B2 (en) Patterning methods for semiconductor devices
TWI759616B (zh) 3d nand蝕刻
CN110678981A (zh) 3d-nand器件中用于字线分离的方法
TW201908507A (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
CN111627806A (zh) 基片处理方法和基片处理装置
CN114667600A (zh) 钼填充
CN108352303A (zh) 氧化硅的自对准遮蔽
JP7194116B2 (ja) 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ
KR20230004881A (ko) 공극을 형성하기 위한 시스템들 및 방법들
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
TWI329340B (en) Method for manufacturing semiconductor device
TW202044342A (zh) 使用犧牲性遮罩的選擇性蝕刻
US20230178371A1 (en) Method and apparatus for hard mask deposition
JP7357794B2 (ja) 高品質Si含有膜を形成するための超低温ALD
US20230420259A1 (en) Selective etch of a substrate
US20230227965A1 (en) Method and apparatus for forming a patterned structure on a substrate
US20210020448A1 (en) Method and Structure for Smoothing Substrate Patterns or Surfaces
US20180358227A1 (en) Method For Reducing Reactive Ion Etch Lag in Low K Dielectric Etching