JP2007258659A - 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置 - Google Patents

荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置 Download PDF

Info

Publication number
JP2007258659A
JP2007258659A JP2006191148A JP2006191148A JP2007258659A JP 2007258659 A JP2007258659 A JP 2007258659A JP 2006191148 A JP2006191148 A JP 2006191148A JP 2006191148 A JP2006191148 A JP 2006191148A JP 2007258659 A JP2007258659 A JP 2007258659A
Authority
JP
Japan
Prior art keywords
correction
dose
proximity effect
residual
effect correction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006191148A
Other languages
English (en)
Other versions
JP4976071B2 (ja
Inventor
Junichi Suzuki
潤一 鈴木
Keiko Emi
恵子 江見
Takayuki Abe
隆幸 阿部
Tomohiro Iijima
智浩 飯島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2006191148A priority Critical patent/JP4976071B2/ja
Priority to US11/671,814 priority patent/US7511290B2/en
Priority to KR1020070016884A priority patent/KR100819293B1/ko
Publication of JP2007258659A publication Critical patent/JP2007258659A/ja
Application granted granted Critical
Publication of JP4976071B2 publication Critical patent/JP4976071B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【目的】より高精度な寸法変動補正を行なうビーム照射量で描画する描画方法、及び装置を提供することを目的とする。
【構成】本発明の荷電粒子ビーム描画方法は、近接効果補正照射量計算工程(S504)と、近接効果補正残差補正照射量計算工程(S102)と、近接効果補正照射量等の補正照射量が近接効果補正残差補正照射量で補正された照射量で試料に荷電粒子ビームを照射する照射工程(S516)と、を備えたことを特徴とする。本発明によれば、補正残差を低減させることができる。
【選択図】 図1

Description

本発明は、荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置に係り、例えば、電子ビーム描画により生じる近接効果やかぶりにより生じるパターン寸法変動量や、描画後のパターン形成におけるローディング効果により生じるパターン寸法変動量をビーム照射量で補正する方法、及び描画装置に関する。
半導体デバイスの微細化の進展を担うリソグラフィ技術は半導体製造プロセスのなかでも唯一パターンを生成する極めて重要なプロセスである。近年、LSIの高集積化に伴い、半導体デバイスに要求される回路線幅は年々微細化されてきている。これらの半導体デバイスへ所望の回路パターンを形成するためには、高精度の原画パターン(レチクル或いはマスクともいう。)が必要となる。ここで、電子線(電子ビーム)描画技術は本質的に優れた解像性を有しており、高精度の原画パターンの生産に用いられる。
図21は、従来の可変成形型電子線描画装置の動作を説明するための概念図である。
可変成形型電子線描画装置(EB(Electron beam)描画装置)における第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料340に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過させ、任意形状を作成する方式を可変成形(VSB:Variable Shaped Beam)方式という。
ここで、レジスト膜が塗布されたマスク等の試料に電子ビームを照射する場合に、近接効果やかぶりといったレジストパターンの寸法を変動させる要因が存在する。近接効果は照射した電子がマスクで反射し、レジストを再照射する現象で、影響範囲は十数μm程度である。一方、かぶりは近接効果による後方散乱電子が、レジストを飛び出し電子鏡筒の下面で再度散乱し、再度マスクを照射するといった多重散乱によるレジスト照射現象で、近接効果に比べて広範囲(数mm〜数cm)に及ぶ。近接効果もかぶりもレジストを再照射する現象で、従来、かかる要因を補正するための補正手法が研究されている(例えば、特許文献1参照)。その他、形成されたレジストパターンをマスクとして下層の遮光膜等をエッチングする場合にエッチングされる遮光膜等が寸法変動を引き起こすローディング効果を補正する手法等が開示されている(例えば、特許文献2参照)。
また、これら近接効果やローディング効果を補正するために、回路パタン全体を、500μm角のグローバルローディング効果小区画、0.5μm角の近接効果小区画、50nm角のマイクロローディング効果小区画にそれぞれ分割し影響度マップ作成を行なう。そして、50%の所定面積密度の回路パタンを適切に描画でき照射量(固定値)と、近接効果影響値αマップと、ローディング効果補正量ΔCDから求めた近接効果補正係数ηマップを用いて、描画するための照射量を算出する手法についての記載が文献に開示されている(例えば、特許文献3参照)。
特開平11−204415号公報 特許第3680425号公報 特開2005−195787号公報
上述したように、電子ビーム描画に代表される荷電粒子ビーム描画では、レジスト膜が塗布されたマスク等の試料に電子ビームを照射する場合に、近接効果やかぶりといったレジストパターンの寸法を変動させる要因が存在する。そのため、例えば、ナノメートル(nm)オーダの精度が要求されるパターンの描画の際においては、“近接効果”及び“かぶり”の影響によって、描画パターンの仕上がり寸法に不均一な分布が生じるという問題が生じてしまう。さらに、描画後にはローディング効果と呼ばれる寸法変動が生じてしまう。かかるローディング効果としては、例えば、レジスト膜の現像ローディング効果、レジスト膜の下層の遮光膜となるクロム(Cr)をエッチングする際のCr−ローディング効果、或いはウェハ製作工程における化学機械研磨(CMP)でのパターン寸法変動に伴うローディング効果等が挙げられる。
一方、電子ビーム描画では、パターン線幅の微細化に伴いより高精度なマスク面内の線幅均一性が求められている。ここで、上述した近接効果等をビームの照射量で補正する場合にはモデル式を用いて補正量が計算される。しかしながら、かかるモデル式は、補正残差を持っている。そして、かかる近接効果補正残差やかぶりやローディング効果によるマスク面内の寸法変動は1nm/mm程度と近接効果に比べて緩やかで、変動量は10〜20nm程度である。このマスク面内の寸法変動は、レジスト種、レジスト厚、レジスト塗付装置或いは方法、露光後ベーク(PEB)装置或いは方法、現像装置或いは方法、エッチング装置或いは方法などにより生じる。よって、これらレジスト種、レジスト厚、PEB、現像むら等によってはかかる補正残差による寸法変動が無視できない場合が生じてしまう。また、かぶりやローディング効果による寸法変動の補正においても高精度化が望まれている。
そこで、本発明は、かかる問題点を克服し、より高精度な寸法変動補正を行なうビーム照射量で描画する描画方法、及び装置を提供することを目的とする。
本発明の一態様の荷電粒子ビーム描画方法は、
荷電粒子ビームを用いて試料にパターンを描画する荷電粒子ビーム描画における近接効果を補正する近接効果補正照射量を少なくとも含む荷電粒子ビーム描画における補正照射量を計算する補正照射量計算工程と、
かかる補正照射量の補正残差を補正する補正残差補正照射量を計算する補正残差補正照射量計算工程と、
補正残差補正照射量で補正された補正照射量により補正される荷電粒子ビームの照射量を計算する照射量計算工程と、
かかる照射量になるように試料に荷電粒子ビームを照射する照射工程と、
を備えたことを特徴とする。
補正照射量が補正残差補正照射量で補正されることで補正残差を補正することができる。そして、かかる補正照射量で荷電粒子ビームの照射量を補正することにより、少なくとも近接効果が補正された荷電粒子ビームの照射量を得ることができる。
そして、上述した補正残差補正照射量計算工程において、補正残差補正照射量として、近接効果補正照射量の補正残差を補正する近接効果補正残差補正照射量を計算することを特徴とする。
上述したように、レジスト種、レジスト厚、PEB、現像むら等によっては寸法変動が無視できない近接効果の補正残差が生じる。よって、近接効果補正照射量の補正残差を補正することでかかる寸法変動を低減させることができる。
そして、試料の面内位置で変化する寸法感度を用いて、上述した近接効果補正残差補正照射量は計算される。
また、上述した補正照射量計算工程において、補正照射量として、近接効果補正照射量の他に、試料の面内位置で変化する寸法感度を用いてローディング効果に起因するパターンの寸法変動を補正するローディング効果補正照射量を計算することを特徴とする。
近接効果補正で用いるメッシュサイズのメッシュ領域内では、ローディング効果はパターン密度に依存せずに寸法が一定量変化する現象である。しかしながら、後述するように、パターン密度毎に寸法感度は変化すると共に、試料の面内位置によっても変化する。よって、試料の面内位置で変化するかかる寸法感度を用いてローディング効果補正照射量を計算することで、より高精度なローディング効果補正照射量を得ることができる。
そして、ローディング効果補正照射量には、ローディング効果補正残差が加算されると好適である。
そして、試料には、半導体デバイスの製造に用いられるマスクを含んでいる。
そのため、ローディング効果補正照射量には、マスクを用いて製造されるウェハ上に生じると予測される寸法誤差の値が加算されると好適である。
また、ローディング効果補正照射量には、マスクを用いて製造されるウェハ上に生じると予測される寸法誤差の値の他に、さらに、上述したローディング効果補正残差が加算されるとなお好適である。
また、上述した補正照射量計算工程において、補正照射量として、近接効果補正照射量の他に、かぶりに起因するパターンの寸法変動を補正するかぶり補正照射量を計算することよい。さらに、かぶり補正の補正残差を、かぶり補正照射量に乗算するとなお好適である。
また、本発明の一態様の荷電粒子ビーム描画装置は、
荷電粒子ビームを用いて試料にパターンを描画する荷電粒子ビーム描画における近接効果に起因するパターンの寸法変動を補正する近接効果補正照射量を計算する近接効果補正照射量計算部と、
近接効果補正照射量の補正残差を補正する近接効果補正残差補正照射量を計算する近接効果補正残差補正照射量計算部と、
かぶりに起因するパターンの寸法変動を補正するかぶり補正照射量を計算するかぶり補正照射量計算部と、
ローディング効果に起因するパターンの寸法変動を補正するローディング効果補正照射量を計算するローディング効果補正照射量計算部と、
近接効果補正照射量と近接効果補正残差補正照射量とかぶり補正照射量とローディング効果補正照射量とを合成して、荷電粒子ビームの照射量を計算する照射量計算部と、
かかる照射量で試料を荷電粒子ビームを用いて描画する描画部と、
を備えたことを特徴とする。
上述したように、レジスト種、レジスト厚、PEB、現像むら等によっては寸法変動が無視できない近接効果の補正残差が生じる。そして、近接効果補正残差補正照射量をかぶり補正、ローディング効果補正用の照射量と共に近接効果補正照射量に合成することによって、より高精度に寸法変動を低減させることができる。
本発明によれば、補正残差を低減させることができる。その結果、高精度なパターン寸法を得ることができる。
実施の形態1.
以下、実施の形態では、荷電粒子ビームの一例として、電子ビームを用いた構成について説明する。但し、荷電粒子ビームは、電子ビームに限るものではなく、イオンビーム等の他の荷電粒子を用いたビームでも構わない。
また、実施の形態1では、近接効果補正はもちろんのこと、上述した近接効果補正残差、かぶり、ローディング効果を補正するために、マスク等の試料の試料面全面を例えば1mm程度のメッシュ状の小領域(近接効果補正残差補正メッシュ領域とかぶり補正メッシュ領域とローディング効果補正メッシュ領域との3つの領域とも後述する補正マップを用いるため同じサイズとする。)に区切り、そのメッシュ領域ごとの補正データを格納した補正マップと、マップに格納された補正値と近接効果補正照射量を引数にした照射量補正テーブルを作成する。この補正マップと照射量補正テーブルを用いて、マップの値と近接効果補正照射量(=パターン密度)毎に補正値を変えて描画する。以下、図面を用いて説明する。
図1は、実施の形態1における描画方法のフローチャートの要部を示す図である。
図1において、電子ビーム描画方法は、電子ビーム描画動作前の準備工程と電子ビーム描画動作工程とを行なう。電子ビーム描画動作前の準備工程として、補正照射量計算工程の一例となる近接効果補正残差補正照射量計算工程(S102)、補正残差補正照射量計算工程の一例となる近接効果補正残差補正マップ作成工程(S104)、近接効果補正残差補正データテーブル作成工程(S106)、補正照射量計算工程の一例となるかぶり補正照射量計算工程(S202)、かぶり補正マップ作成工程(S204)、かぶり補正データテーブル作成工程(S206)、補正照射量計算工程の一例となるローディング効果補正照射量計算工程(S302)、ローディング効果補正マップ作成工程(S304)、ローディング効果補正データテーブル作成工程(S306)、マップ合成工程(S402)という一例の工程を実施する。かかる準備を経て、電子ビーム描画方法は、描画動作工程として、入力工程(S502)、近接効果補正照射量計算工程(S504)、近接効果補正残差補正データ取得工程(S506)、かぶり補正データ取得工程(S508)、ローディング効果補正データ取得工程(S510)、照射量合成工程(S512)、照射時間計算工程(S514)、照射工程(S516)という一例の工程を実施する。
図2は、実施の形態1における描画装置の要部構成の一例を示す概念図である。
図2において、荷電粒子ビーム描画装置の一例であり電子ビーム描画装置の一例となる描画装置100は、描画部150となる電子鏡筒102と描画室103を備え、制御系として、制御計算機110、記憶装置の一例となるメモリ130、制御計算機210、記憶装置の一例となるメモリ230、記憶装置の一例となる磁気ディスク装置146、記憶装置の一例となる磁気ディスク装置148、偏向制御回路140を備えている。電子鏡筒102内には、電子銃201、ブランキング(BLK)偏向器205、ブランキング(BLK)アパーチャ206を有している。描画室103内には、XYステージ105を有している。制御計算機110内では、近接効果補正照射量計算部112、補正照射量取得演算部114、照射量合成部116、照射時間計算部118、描画データ処理部120といった各機能を有している。制御計算機210内では、近接効果補正残差補正照射量計算部212、かぶり補正照射量計算部214、ローディング効果補正照射量計算部216、マップ作成部218、テーブル作成部220といった各機能を有している。
制御計算機110には、磁気ディスク装置146に記憶されたパターンデータ152が磁気ディスク装置146を介して入力される。同様に、制御計算機110には、磁気ディスク装置148に記憶された補正マップ154、近接効果補正残差補正データテーブル156、かぶり補正データテーブル158、ローディング効果補正データテーブル162が磁気ディスク装置148を介して入力される。制御計算機110に入力される情報或いは演算処理中及び処理後の各情報はその都度メモリ130に記憶される。制御計算機210に入力される情報或いは演算処理中及び処理後の各情報はその都度メモリ230に記憶される。
制御計算機110には、メモリ130、偏向制御回路140、磁気ディスク装置146、磁気ディスク装置148が図示していないバスを介して接続されている。制御計算機210には、メモリ230、磁気ディスク装置148が図示していないバスを介して接続されている。偏向制御回路140は、BLK偏向器205に接続される。
図2では、実施の形態1を説明する上で必要な構成部分以外については記載を省略している。描画装置100にとって、通常、必要なその他の構成が含まれることは言うまでもない。
また、図2では、コンピュータの一例となる制御計算機110で、近接効果補正照射量計算部112、補正照射量取得演算部114、照射量合成部116、照射時間計算部118、描画データ処理部120といった各機能といった各機能の処理を実行するように記載しているがこれに限るものではなく、電気的な回路によるハードウェアにより実施させても構わない。或いは、電気的な回路によるハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、かかるハードウェアとファームウェアとの組合せでも構わない。
同様に、図2では、コンピュータの一例となる制御計算機210で、近接効果補正残差補正照射量計算部212、かぶり補正照射量計算部214、ローディング効果補正照射量計算部216、マップ作成部218、テーブル作成部220といった各機能の処理を実行するように記載しているがこれに限るものではなく、電気的な回路によるハードウェアにより実施させても構わない。或いは、電気的な回路によるハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、かかるハードウェアとファームウェアとの組合せでも構わない。
電子銃201から出た所定の電流密度Cに制御された荷電粒子ビームの一例となる電子ビーム200は、移動可能に配置されたXYステージ105上の試料101の所望する位置に照射される。ここで、試料101上の電子ビーム200が、所望する照射量を試料101に入射させる照射時間に達した場合、試料101上に必要以上に電子ビーム200が照射されないようにするため、例えば静電型のブランキング偏向器205で電子ビーム200を偏向すると共にブランキングアパーチャ206で電子ビーム200をカットし、電子ビーム200が試料101面上に到達しないようにする。ブランキング偏向器205の偏向電圧は、偏向制御回路140及び図示していないアンプによって制御される。
ビームON(ブランキングOFF)の場合、電子銃201から出た電子ビーム200は、図1における実線で示す軌道を進むことになる。一方、ビームOFF(ブランキングON)の場合、電子銃201から出た電子ビーム200は、図1における点線で示す軌道を進むことになる。また、電子鏡筒102内およびXYステージ105が配置された描画室103内は、図示していない真空ポンプにより真空引きされ、大気圧よりも低い圧力となる真空雰囲気となっている。
図2では、本実施の形態1を説明する上で必要な構成部分以外については記載を省略しているが、描画装置100は、上述した構成の他に、電子鏡筒102内に、照明レンズ、第1のアパーチャ、投影レンズ、成形偏向器、第2のアパーチャ、対物レンズ、対物偏向器等を備えていても構わない。ビームON(ブランキングOFF)の場合、かかる構成では、電子銃201から出た電子ビーム200が、照明レンズにより矩形、例えば長方形の穴を持つ第1のアパーチャ全体を照明する。ここで、電子ビーム200をまず矩形、例えば長方形に成形する。そして、第1のアパーチャを通過した第1のアパーチャ像の電子ビーム200は、投影レンズにより第2のアパーチャ上に投影される。かかる第2のアパーチャ上での第1のアパーチャ像の位置は、成形偏向器によって制御され、ビーム形状と寸法を変化させることができる。そして、第2のアパーチャを通過した第2のアパーチャ像の電子ビーム200は、対物レンズにより焦点を合わせ、対物偏向器により偏向されて、移動可能に配置されたXYステージ105上の試料101の所望する位置に照射される。かかる構成にすることにより可変成形型(VSB型)EB描画装置とすることができる。
ここで、描画動作に入る前に、補正マップ154、近接効果補正残差補正データテーブル156、かぶり補正データテーブル158、ローディング効果補正データテーブル162を作成する。
まず、近接効果のモデルについて説明する。近接効果は照射した電子がマスクで反射し、レジストを再照射する現象で、影響範囲は十数μm程度である。近接効果は、以下の式(式1)で表すことができる。
Figure 2007258659
ここで、Eはレジスト吸収量で一定値、D(x,y)は近接効果補正照射量、ηは近接効果補正係数、κ(x,y)は近接効果影響分布とする。経験的に影響分布κ(x,y)はガウス分布に近いことが知られている。また、近接効果補正係数ηと近接効果影響分布κ(x,y)は別の実験で求めておく。そして、近接効果補正照射量D(x,y)は、式1を満たし、以下の式(式2(式2−1〜式2−4))で表すことができる。
Figure 2007258659
かかる式2を解くことで近接効果補正照射量D(x,y)を求めることができる。例えば、近接効果補正照射量の計算誤差を0.5%程度に抑えるためには、1μm程度のメッシュ(領域)ごとにn=3までの補正項を考慮したD(x,y)を計算すればよい。パターンデータ152に沿った実際の近接効果補正照射量D(x,y)の計算は、S504で計算することになる。
S(ステップ)102において、近接効果補正残差補正照射量計算工程として、近接効果補正残差補正照射量計算部212は、近接効果補正照射量の補正残差を補正する近接効果補正残差補正照射量d(x,y)を計算する。
図3は、実施の形態1における近接効果補正評価パターンの一例を示す図である。
図3において、基板50には、パターン密度(パターン面積密度)がほぼ0%のパターンと50%のパターンとほぼ100%のパターンとで1組となる近接効果補正評価パターン52が複数配列されている。近接効果補正評価パターン52は、基板50の各位置において寸法変動が評価できるように規則的に複数配列されているものを用いる。
まず、図3に示す近接効果補正評価パターン52でパターン密度によらず寸法が一定になるように近接効果補正係数ηを変えながら描画し、ηを最適化する。このηを用いて、式2から基準となる近接効果補正照射量D(x,y)が決めることができる。
図4は、実施の形態1における近接効果補正照射量とパターン密度との関係を示す図である。
図4に示すように、それぞれのパターン(密度)毎に、近接効果補正照射量D(x,y)は一意に決まるので、近接効果補正照射量D(x,y)はパターン密度の情報をもつことがわかる。近接効果補正照射量D(x,y)は実際に描画に用いる照射量D(x,y)に対する相対値であるが、デジタルデータとして計算処理を簡略化するために、以下の式(式3)で2048階調化する。
Figure 2007258659
ここで、Iは近接効果補正照射量D(x,y)の階調値を示す。Round{}は四捨五入して、整数にする関数とする。DmaxとDminは、それぞれ近接効果補正照射量D(x,y)の階調範囲の最大値と最小値である。これらは、近接効果補正照射量D(x,y)の取り得る範囲より、充分大きくとり、ここでは、図4に示す近接効果補正照射量D(x,y)の取り得る範囲(1〜2.2)を考慮して、例えばDmax=5、Dmin=0とする。
図5は、実施の形態1における照射量と各パターン寸法との関係を示す図である。
図5に示すように、各パターンは、パターン密度によって照射量に対するパターン寸法変動量が異なることがわかる。
図6は、実施形態1における近接効果補正照射量と寸法感度との関係を示す図である。
寸法感度[nm/%]は照射量が1%変化した時の寸法CD変動量[nm]である。図6に示すように、寸法感度は、パターン(密度)毎に異なることになる。この寸法感度は後述するように寸法誤差を補正照射量に変換するのに用いる。図6では、横軸に照射量として、近接効果補正照射量D(x,y)の階調値Iで示した。
ここで、上述した近接効果補正モデル式となる式2は、補正残差を持っていて、式2で補正を行う場合、例えばレジスト種類等によっては、補整残差が無視できない場合がある。
図7は、実施の形態1におけるパターン寸法と近接効果補正照射量との関係を示す図である。
図7では、近接効果補正照射量D(x,y)について、階調値Iで示した。ここで、近接効果補正が完全な場合には、実線で示す「補正モデル」のようにパターン寸法は近接効果補正照射量D(x,y)に依存しないはずである。しかしながら、図7に示すように、例えばレジスト種類等によっては、点線で示すように、寸法誤差、すなわち補正残差が存在し、補正残差は近接効果補正照射量、言い換えれば、パターン密度に依存することがわかる。かかる寸法誤差は、図6に示した近接効果補正照射量毎の寸法感度を使って、近接効果補正残差を補正する近接効果補正残差補正照射量d(x,y)に変換することができる。
図8は、実施の形態1における近接効果補正照射量と近接効果補正残差補正照射量との関係を示す図である。
図8の点線に示すグラフは、以下の多項式(式4)で近似することができる。
Figure 2007258659
式4に示す多項式で、多項式の係数Aj、オーダーNは残差が最小になるように決定する。ここでは、多項式を用いたが、関数系は任意に選んでもよい。
図9は、図8の点線で示すグラフの多項式の係数を示す図である。
図8の例では、オーダーN=2の2次多項式が最適となり、多項式の係数Ajは、図9に示す値となる。
ここで、レジスト膜厚や現像むら等により、マスク面内でメッシュ領域毎に近接効果補正残差が異なる場合がある。
図10は、実施の形態1における近接効果補正残差の分布の一例を示す図である。
図10に示すように、マスク面内でメッシュ領域毎に近接効果補正残差が領域1〜4と異なる場合がある。同様の理由により、寸法感度も異なる場合がある。ここで、レジスト膜厚や現像むらはmmからcmのオーダーで変化するため、図10では、マスク面内を例えば1mm角程度のメッシュに分割してメッシュ領域毎に近接効果補正残差の分布を示している。
図11は、実施の形態1における領域毎の近接効果補正照射量とパターン寸法との関係を示す図である。
図11に示すように、パターンは、領域毎に近接効果補正照射量に対するパターン寸法CDが異なることがわかる。図11では、横軸を近接効果補正照射量D(x,y)の階調値Iで示した。
図12は、実施の形態1における領域毎の近接効果補正照射量と寸法感度との関係を示す図である。
図11に示す近接効果補正照射量変化に対する寸法CD変動量を寸法感度[nm/%]とすると、図12に示すように、寸法感度は、領域毎に異なることがわかる。すなわち、寸法感度は、試料の面内位置で変化する。図12でも、横軸を近接効果補正照射量D(x,y)の階調値Iで示した。
図13は、図12における領域毎の寸法感度を用いて、近接効果補正残差補正照射量を求めた結果を示す図である。
図14は、図13における領域毎の点線で示すグラフの多項式の係数を示す図である。
図14では、2次多項式でフィットした場合の領域毎の係数Aj(k)を示す。kは補正種類番号で、4つの領域(領域1〜4)に対応し、例えば領域1をk=0として、図13、図14の例では、補正種類番号kは、0〜3の4種類の番号をとる。また、上述した式4は、以下の式(式5)のように示すことができる。式4と同様、式5についても、多項式を用いたが、関数系は任意に選んでもよい。
Figure 2007258659
そして、図14の領域毎の係数Aj(k)と式5とから、近接効果補正残差補正照射量計算部212は、近接効果補正照射量毎に近接効果補正照射量D(x,y)の階調値Iと補正種類番号kとを変数とする近接効果補正残差補正照射量d(I,k)を計算することができる。
S104において、近接効果補正残差補正マップ作成工程として、マップ作成部218は、近接効果補正残差補正マップを作成する。
上述したように、レジスト膜厚や現像むらはmmからcmのオーダーで変化するため、マスク面内を例えば1mm角程度のメッシュに分割し、図10に示す各メッシュで使うべき補正種類番号を格納し、近接効果補正残差補正マップとする。ここでは、補正種類番号kの値が格納されるため、例えば補正種類番号k=0〜4の場合には、各メッシュ領域毎の情報量として2ビットの情報量で格納すればよい。
S106において、近接効果補正残差補正データテーブル作成工程として、テーブル作成部220は、計算される近接効果補正残差補正照射量d(I,k)を用いて、Iとkを引数とした近接効果補正残差補正データT(I,k)のテーブルとなる近接効果補正残差補正データテーブルを作成する。かかる近接効果補正残差補正データテーブルには、以下の式(式6)を満たす値を計算して格納する。
Figure 2007258659
ここで、図4の例を用いると近接効果補正照射量D(x,y)の階調値Iの取り得る範囲は409≦I≦901なので、データ値が発散しないように、階調値Iが409以下の場合は、最小値であるI=409を式6における近接効果補正残差補正照射量d(I,k)計算に用いる。同様に、階調値Iが901以上の場合は、最大値であるI=901を式6における近接効果補正残差補正照射量d(I,k)計算に用いる。ここで、式6の近接効果補正残差補正データT(I,k)の取り得る値は、近接効果補正補正残差が負の場合も考慮すると、−2047から2047となる。従って、かかる近接効果補正残差補正データテーブルの1つのデータサイズは、これより大きい16ビットとし、上位の余ったビットの位の値で近接効果補正残差補正データT(I,k)の値が正又は負であることを識別するように構成すると好適である。例えば、式6が負の場合は、最上位ビットを立てた値(「1」とする)を格納しておく。
図15は、実施の形態1における近接効果補正残差補正データテーブルの一例を示す図である。
図15では、Iが894以上でkが1や2をとる場合には、近接効果補正残差補正データT(I,k)の値が大きくなり負であることがわかる。
次に、グローバル寸法(GCD)補正の一例として、かぶりやローディング効果に起因する寸法変動の影響を補正する場合について説明する。かぶり、ローディング効果がある場合、上述した式1を拡張して、以下の式(式7)のように表すことができる。かぶり、ローディング効果がある場合は、(式7)に限らず、特願2005−309247号(出願日:2005/10/25)に示した値であっても良い。
Figure 2007258659
ここで、D(x,y)は補正照射量、θはかぶり補正係数、κ(x,y)はかぶり影響分布、S(x,y)は寸法感度[nm/%]、L(x,y)はローディング効果による寸法エラー[nm]とする。また、ローディング効果による寸法エラーL(x,y)は、以下の式(式8)で表すことができる。
Figure 2007258659
ここで、γはローディング効果補正係数[nm]、ρ(x,y)はパターン密度、κ(x,y)はローディング効果影響分布とする。ここで、式1では、左辺のレジスト吸収量について、パターン密度によらず、一定の値となるように解を求めた。また、ローディング効果はパターン密度によらず、寸法が一定量変化する現象である。しかしながら、図12に示すように寸法感度は近接効果補正照射量D(x,y)の階調値Iの変化に対して変動する。そして、近接効果補正照射量D(x,y)は、パターン密度に応じて変動する。よって、寸法感度はパターン密度毎に異なる。そして、寸法感度がパターン密度毎に異なるため、パターン密度毎に式8の左辺のレジスト吸収量を変える必要がある。従って、ローディング効果により場所ごと、パターン密度毎に左辺のレジスト吸収量が変化する現象として式1に取り込むことになる。
また、補正照射量D(x,y)は以下の式(式9)のように、各補正照射量の積として求めることができる。
Figure 2007258659
式9において、近接効果補正照射量D(x,y)は式1の解となる式2を満たす。また、ここで、D(x,y)はかぶり補正照射量、D(x,y)はローディング効果補正照射量とする。
まず、ローディング効果が存在しない場合を考える。近接効果補正照射量D(x,y)とかぶり補正照射量D(x,y)の積は以下の積分方程式(式10)を満足するとする。
Figure 2007258659
近接効果の影響範囲(数十μm)に比べて、かぶりの影響範囲(mm〜cm)は非常に広いことから、かぶり補正照射量D(x,y)は右辺2項目の積分では一定値とみなすことができるので、式10は、以下の式(式11)に変形することができる。
Figure 2007258659
そして、式11に式1を代入すると、以下の式(式12)と表すことができる。
Figure 2007258659
そして、式12の積分内でD(x,y)が一定であると仮定すると以下の式(式13)に変形することができる。
Figure 2007258659
ここで、ローディング効果を含めた場合、式7に式9を代入すると、以下の式(式14)となる。
Figure 2007258659
近接効果の影響範囲(数十μm)に比べて、かぶりとローディング効果の影響範囲(mm〜cm)は非常に広いことから、式14において、D(x,y)とD(x,y)は右辺2項目の積分では一定値とみなすことができる。また、3項目の積分でD(x,y)とD(x,y)が一定と仮定すると、式14は、以下の式(式15)となる。
Figure 2007258659
そして、式1を用いると、式15は、以下の式(式16)となる。
Figure 2007258659
式16の右辺の分子は、一定値Eを外に出した関数として表すことで、以下の式(式17)と変形することができる。
Figure 2007258659
そして、式17を式16に代入すると、以下の式(式18)となる。
Figure 2007258659
よって、式13を用いて、ローディング効果補正照射量D(x,y)は、以下の式(式19)のように表すことができる。
Figure 2007258659
これまでの計算結果を踏まえた上で、まず、かぶり補正照射量について計算する。
S202において、かぶり補正照射量計算工程として、かぶり補正照射量計算部214は、かぶりに起因するパターンの寸法変動を補正するかぶり補正照射量を計算する。かぶり補正照射量D(x,y)を計算するために、式13の分母となる以下の式(式20)の積分を実行することになる。
Figure 2007258659
ここで、式20の積分計算をそのまま実行してもよいが、積分計算には非常に時間がかかるので、積分領域内で近接効果補正照射量D(x,y)が一定であるとし、その値をE/{E+ηV(x,y)}と仮定すると、式13は以下の式(式21(式21−1、式21−2))のように計算することができる。
Figure 2007258659
ここでは、現像後のマスク面内寸法が一様になるように、θとかぶり影響分布κ(x,y)をあらかじめ決定しておく。そして、式21を1mmのメッシュ毎(かぶり補正メッシュ領域毎)に計算する。
S204において、かぶり補正マップ作成工程として、マップ作成部218は、かぶり補正マップを作成する。
かぶり補正照射量D(x,y)の最小値をFminとして、最大値をFmaxとして、各かぶり補正メッシュ領域毎のかぶり補正照射量D(x,y)を以下の式(式22)に従って、64階調化する。かぶりに起因する寸法変動量は、上述したように10〜20nmなので、64に階調化すれば十分な解像度を得ることができる。
Figure 2007258659
そして、計算された階調値I(x,y)を格納したかぶり補正マップを作成する。
S206において、かぶり補正データテーブル作成工程として、テーブル作成部220は、計算された階調値I(x,y)を用いて、IとIを引数としたかぶり補正データT(I,I)のテーブルとなるかぶり補正データテーブルを作成する。かかるかぶり補正データテーブルには、以下の式(式23)を満たす値を計算して格納する。
Figure 2007258659
そして、かかるかぶり補正データT(I,I)の取り得る値は、かぶり補正照射量が負の場合も考慮すると、−2047から2047となる。従って、かかるかぶり補正データテーブルの1つのデータサイズは、これより大きい16ビットとし、上位の余ったビットの位の値でかぶり補正データT(I,I)の値が正又は負であることを識別するように構成すると好適である。例えば、式23が負の場合は、最上位ビットを立てた値(「1」とする)を格納しておく。
次に、ローディング効果補正照射量を計算していく。
S302において、ローディング効果補正照射量計算工程として、ローディング効果補正照射量計算部216は、ローディング効果に起因するパターンの寸法変動を補正するローディング効果補正照射量を計算する。
ここで、例えば図5に示したようにパターン寸法と照射量が比例関係にあるとすると、ローディング効果による寸法変動が寸法変動L(x,y)[nm]の時、ローディング効果補正照射量D(x,y)は、以下の式(式24)で表すことができる。
Figure 2007258659
S(x,y)は寸法感度[nm/%]で、図12に示すように、近接効果補正照射量と場所に依存する。或いは、上述した比例関係の代わりに、例えばパターン寸法が照射量の自然対数に比例する場合に、ローディング効果補正照射量D(x,y)は、以下の式(式25)で表すこともできる。
Figure 2007258659
かかる寸法と照射量の関係はプロセスに合わせて最適な関係式を選べばよい。
S304において、ローディング効果補正マップ作成工程として、マップ作成部218は、ローディング効果補正マップを作成する。
まず、1mmメッシュ領域毎にパターン密度を計算し、式8に従い、各メッシュ領域毎のローディング効果による寸法エラーL(x,y)を計算する。
ローディング効果による寸法エラーL(x,y)の最小値をLminとして、最大値をLmaxとして、各メッシュ領域毎のローディング効果による寸法エラーL(x,y)を以下の式(式26)に従って、64階調化する。ローディング効果に起因する寸法変動量は、上述したように10〜20nmなので、64に階調化すれば十分な解像度を得ることができる。
Figure 2007258659
そして、計算された階調値I(x,y)を格納したローディング効果補正マップを作成する。
S306において、ローディング効果補正データテーブル作成工程として、テーブル作成部220は、計算された階調値I(x,y)を用いて、IとIとkを引数としたローディング効果補正データT(I,I,k)のテーブルとなるローディング効果補正データテーブルを作成する。かかるローディング効果補正データテーブルには、以下の式(式27)を満たす値を計算して格納する。ここで、図12に示したように寸法感度S(x,y)は近接効果補正照射量(パターン密度)と場所(領域)に依存するので、S(I,k)と書くことができる。従って、ローディング効果補正データT(I,I,k)は、IとIとkを引数とした式27で定義されることになる。
Figure 2007258659
そして、かかるローディング効果補正データT(I,I,k)の取り得る値は、ローディング効果補正照射量が負の場合も考慮すると、−2047から2047となる。従って、かかるローディング効果補正データテーブルの1つのデータサイズは、これより大きい16ビットとし、上位の余ったビットの位の値でローディング効果補正データT(I,I,k)の値が正又は負であることを識別するように構成すると好適である。例えば、式27が負の場合は、最上位ビットを立てた値(「1」とする)を格納しておく。
以上のように、近接効果残差補正、かぶり補正、ローディング効果補正を行うために、各補正用のマップを作成した。ここで、各補正用のマップは、それぞれデータ量が小さいため合成して1つのマップとしておくと便利である。そこで、マップを合成する。
S402において、マップ合成工程として、マップ作成部218は、近接効果補正残差補正マップ、かぶり補正マップ、ローディング効果補正マップを合成する。
図16は、実施の形態1における補正マップの合成の仕方について説明するための概念図である。
マップ作成部218は、近接効果補正残差補正マップ10とかぶり補正マップ20とローディング効果補正マップ30を図16のように、補正マップ154として1つのマップに合成する。近接効果残差補正マップ10のメッシュデータ(2ビット)、かぶり補正マップ20のメッシュデータ(6ビット)、ローディング効果補正マップ30のメッシュデータ(6ビット)を合成して、各メッシュ領域毎に14ビットのマップデータをもつマップにする。
以上のようにして作成された補正マップ154と近接効果補正残差補正データテーブル156とかぶり補正データテーブル158とローディング効果補正データテーブル162とは、磁気ディスク装置148に格納しておく。かかる補正マップ154と各テーブルを描画開始前までに作成しておくと、描画時間の劣化を防ぐことができ好適である。但し、これに限るものではなく、以下に説明する描画動作中にリアルタイムに作成(計算)しても構わない。以下、補正マップ154と3つの各テーブルが磁気ディスク装置148に格納されているものとして、描画動作を説明する。
S502において、入力工程として、制御計算機110は、磁気ディスク装置148から補正マップ154と3つのデータテーブルの各情報を、磁気ディスク装置146からパターンデータ152を入力する。描画データ処理部120は、パターンデータ152に基づいて、ショットデータを作成する。以下、各ショットにおける照射時間t(x、y)を計算し、かかる照射時間t(x、y)に沿って電子ビーム200を照射し、試料101を描画していく。ここでは、補正マップ154と3つのデータテーブルの各情報とパターンデータ152とを別の記憶装置に記憶させているが、同じ記憶装置に記憶させておいても構わない。すなわち、補正マップ154と3つのデータテーブルの各情報とパターンデータ152とを磁気ディスク装置148に記憶させておいても構わない。
S504において、近接効果補正照射量計算工程として、近接効果補正照射量計算部112は、電子ビーム200を用いて試料101にパターンを描画する電子ビーム描画における近接効果に起因するパターンの寸法変動を補正する近接効果補正照射量D(x,y)を計算する。そして、計算された近接効果補正照射量D(x,y)を階調値Iに階調化する。ここで、近接効果は、影響範囲は十数μm程度であるため、上述した1mm程度のメッシュ領域(近接効果補正残差補正メッシュ領域、かぶり補正メッシュ領域、ローディング効果補正メッシュ領域)とは異なる1μm程度のメッシュ領域(近接効果補正メッシュ領域)毎に式2を用いて計算する。
S506において、近接効果補正残差補正データ取得工程として、補正照射量取得演算部114は、補正マップ154から近接効果補正照射量計算部112により近接効果補正照射量D(x,y)(すなわち、階調値I)が計算された近接効果補正メッシュ領域が所属するメッシュ領域を探索し、かかるメッシュ領域のデータとして、領域種別番号kの値を抽出する。そして、補正照射量取得演算部114は、近接効果補正残差補正データテーブル156から階調値Iと領域種別番号kとを引数として近接効果補正残差補正照射量d(x,y)に対応する近接効果補正残差補正データT(I,k)を抽出する。
S508において、かぶり補正データ取得工程として、補正照射量取得演算部114は、補正マップ154から近接効果補正照射量計算部112により近接効果補正照射量D(x,y)(すなわち、階調値I)が計算された近接効果補正メッシュ領域が所属するメッシュ領域を探索し、かかるメッシュ領域のデータとして、階調値Iの値を抽出する。そして、補正照射量取得演算部114は、かぶり補正データテーブル158から階調値Iと階調値Iとを引数としてかぶり補正照射量D(x,y)に対応するかぶり補正データT(I,I)を抽出する。
S510において、ローディング効果補正データ取得工程として、補正照射量取得演算部114は、補正マップ154から近接効果補正照射量計算部112により近接効果補正照射量D(x,y)(すなわち、階調値I)が計算された近接効果補正メッシュ領域が所属するメッシュ領域を探索し、かかるメッシュ領域のデータとして、領域種別番号kの値と階調値Iの値を抽出する。そして、補正照射量取得演算部114は、ローディング効果補正データテーブル162から階調値Iと階調値Iと領域種別番号kとを引数としてローディング効果補正照射量D(x,y)に対応するローディング効果補正データT(I,I,k)を抽出する。
S512において、照射量合成工程として、照射量計算部の一例となる照射量合成部116は、近接効果補正照射量D(x,y)と近接効果補正残差補正照射量d(x,y)とかぶり補正照射量D(x,y)とローディング効果補正照射量D(x,y)とを合成して、補正照射量D(x,y)を計算する。そして、相対値である補正照射量D(x,y)に単位を持つ基準照射量B[μC/cm]を乗じて電子ビーム200の照射量d(x,y)[μC/cm]を計算する。ここでは、それぞれ対応する階調化された値となるI、T(I,k)、T(I,I)、T(I,I,k)を用いて計算する。すなわち、まず、補正照射量D(x,y)に対応する階調値J(x,y)を以下の式(式28)を用いて求める。
Figure 2007258659
ここで、T(I,k)、T(I,I)、T(I,I,k)の最上位ビットが立っている場合は、符号を逆転する。J(x,y)は最大値Dmaxを5、最小値Dminを0として、2048階調化した値で、取り得る値は0から2047である。J(x,y)の値がオーバーフローしないように、計算した結果、J(x,y)が0より小さい場合は0、2047より大きい場合は2047とする。そして、階調値であるJ(x,y)を実数に変換するには、以下の式(式29)で求めることができる。
Figure 2007258659
S514において、照射時間計算工程として、照射時間計算部118は、以下の式(式30)に示すように、照射量d(x,y)を電流密度C[A/cm]で除することで、照射時間t(x,y)を得ることができる。
Figure 2007258659
S516において、照射工程(描画工程でもある)として、制御計算機110は、求めた照射時間t(x,y)で試料101へのビーム照射がOFFになるように偏向制御回路140に信号を出力し、偏向制御回路140では、かかる信号に沿って、求めた照射時間t(x,y)に合わせて、電子ビーム200を偏向するようにブランキング偏向器205を制御する。そして、所望する照射量d(x,y)を試料101に照射した後、描画部150を構成するブランキング偏向器205により偏向された電子ビーム200は、試料101に到達しないようにブランキングアパーチャ206によって遮蔽される。このようにして、描画部150は、電子ビーム200を用いて所望する照射量d(x,y)で試料101を描画する。
以上のように、照射量d(x,y)を補正することで、近接効果補正残差を低減、或いは無くし、さらに、かぶり補正、ローディング効果補正を行なうことができる。その結果、高精度なパターン寸法でパターンを作成することができる。
ここで、実施の形態1では、階調値を用いて式28で合成された補正照射量D(x,y)に対応する階調値J(x,y)を計算したが、補正照射量D(x,y)の計算手法は、これに限るものではなく、補正照射量D(x,y)を近接効果補正照射量D(x,y)と近接効果補正残差補正照射量d(x,y)とかぶり補正照射量D(x,y)とローディング効果補正照射量D(x,y)との積で合成して、補正照射量D(x,y)を求めても好適である。そして、かかる積により合成した得た相対値である補正照射量D(x,y)に単位を持つ基準照射量B[μC/cm]を乗じて電子ビーム200の照射量d(x,y)[μC/cm]を計算してもよい。
以上のように、実施の形態1では、補正照射量の因子として、近接効果補正照射量D(x,y)とかぶり補正照射量D(x,y)とローディング効果補正照射量D(x,y)とを計算し、補正残差補正照射量の因子として、近接効果補正残差補正照射量d(x,y)を計算した。かかる因子により電子ビーム200の照射量d(x,y)を補正することで、高精度なパターン寸法を得ることができる。
実施の形態2.
上述した実施の形態1によるかぶり補正が完全であれば、現像後の面内寸法分布は一様になる。しかし、現像の不均一性、計算に用いた近似等により補正残差が存在する場合がある。実施の形態2には、実施の形態1における補正残差補正照射量の因子として、さらに、かぶり補正残差照射量D(x,y)を加える場合について説明する。
図17は、実施の形態2における描画方法のフローチャートの要部を示す図である。
図17において、電子ビーム描画方法は、電子ビーム描画動作前の準備工程と電子ビーム描画動作工程とを行なう。電子ビーム描画動作前の準備工程として、近接効果補正残差補正照射量計算工程(S102)、補正残差補正照射量計算工程の一例となる近接効果補正残差補正マップ作成工程(S104)、近接効果補正残差補正データテーブル作成工程(S106)、かぶり補正照射量計算工程(S202)、かぶり補正マップ作成工程(S204)、かぶり補正データテーブル作成工程(S206)、かぶり補正残差補正照射量計算工程(S212)、かぶり補正残差補正マップ作成工程(S214)、ローディング効果補正照射量計算工程(S302)、ローディング効果補正マップ作成工程(S304)、ローディング効果補正データテーブル作成工程(S306)、マップ合成工程(S402)という一例の工程を実施する。かかる準備を経て、電子ビーム描画方法は、描画動作工程として、入力工程(S502)、近接効果補正照射量計算工程(S504)、近接効果補正残差補正データ取得工程(S506)、かぶり補正データ取得工程(S508)、ローディング効果補正データ取得工程(S510)、照射量合成工程(S512)、照射時間計算工程(S514)、照射工程(S516)という一例の工程を実施する。図17において、かぶり補正残差補正照射量計算工程(S212)とかぶり補正残差補正マップ作成工程(S214)が追加された点以外は、図1と同様である。
図18は、実施の形態2における描画装置の要部構成の一例を示す概念図である。
図18において、荷電粒子ビーム描画装置の一例であり電子ビーム描画装置の一例となる描画装置100は、描画部150となる電子鏡筒102と描画室103を備え、制御系として、制御計算機110、記憶装置の一例となるメモリ130、制御計算機210、記憶装置の一例となるメモリ230、記憶装置の一例となる磁気ディスク装置146、記憶装置の一例となる磁気ディスク装置148、偏向制御回路140を備えている。電子鏡筒102内には、電子銃201、ブランキング(BLK)偏向器205、ブランキング(BLK)アパーチャ206を有している。描画室103内には、XYステージ105を有している。制御計算機110内では、近接効果補正照射量計算部112、補正照射量取得演算部114、照射量合成部116、照射時間計算部118、描画データ処理部120といった各機能を有している。制御計算機210内では、近接効果補正残差補正照射量計算部212、かぶり補正照射量計算部214、ローディング効果補正照射量計算部216、マップ作成部218、テーブル作成部220、かぶり補正残差補正照射量計算部222といった各機能を有している。
図18において、かぶり補正残差補正照射量計算部222が追加された以外は、図2と同様である。図18でも、図2と同様、コンピュータの一例となる制御計算機210で、追加されたかぶり補正残差補正照射量計算部222の機能の処理を実行するように記載しているがこれに限るものではなく、電気的な回路によるハードウェアにより実施させても構わない。或いは、電気的な回路によるハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、かかるハードウェアとファームウェアとの組合せでも構わない。
実施の形態2では、実施の形態1と重複する部分の説明は省略し、実施の形態1と異なる部分について説明する。実施の形態2において、特に説明がない内容は、実施の形態1と同様である。
S212において、かぶり補正残差補正照射量計算工程として、かぶり補正残差補正照射量計算部222は、かぶり補正残差照射量D(x,y)を計算する。
図19は、かぶりとローディング効果補正残差評価レイアウトを示す概念図である。
まず、図19を実施の形態1の補正を行ない描画し、図19の寸法評価用50%パターンの現像後の面内寸法分布R(x,y)を測定する。そして、図12の寸法感度を用いて、かぶり補正残差を補正するための照射量となるかぶり補正残差照射量D(x,y)は、以下の式(式31)で求めることができる。
Figure 2007258659
そして、式31を1mmのメッシュ領域毎に計算し、メッシュ領域毎のかぶり補正残差照射量D(x,y)を計算する。
S214において、かぶり補正残差補正マップ作成工程として、マップ作成部218は、計算されたメッシュ領域毎のかぶり補正残差照射量D(x,y)のマップを、かぶり補正残差マップとして作成する。
そして、かぶり補正マップ作成工程(S204)において、式22を計算する過程でD(x,y)をD(x,y)・D(x,y)と置き換えて計算する。言い換えれば、かぶり補正照射量D(x,y)とかぶり補正残差照射量D(x,y)の積を式22におけるD(x,y)として代入する。よって、かぶり補正データテーブル作成工程(S206)でもかぶり補正残差照射量D(x,y)が考慮された階調値Iでかぶり補正データを計算する。
以上のように、D(x,y)→D(x,y)・D(x,y)と変更することで、かぶり補正残差を考慮したかぶり補正データを得ることができる。よって、実施の形態1に比べ、さらに高精度化することができる。
また、階調値を用いずに補正照射量D(x,y)を計算する場合には、補正照射量D(x,y)を近接効果補正照射量D(x,y)と近接効果補正残差補正照射量d(x,y)とかぶり補正照射量D(x,y)とかぶり補正残差照射量D(x,y)とローディング効果補正照射量D(x,y)との積で合成して、補正照射量D(x,y)を求めても好適である。そして、かかる積により合成した得た相対値である補正照射量D(x,y)に単位を持つ基準照射量B[μC/cm]を乗じて電子ビーム200の照射量d(x,y)[μC/cm]を計算してもよい。
実施の形態3.
上述した実施形態1によるローディング効果補正が完全であれば、エッチング後の寸法分布は一様になる。しかし、エッチングガスの不均一性により、補正残差が存在する場合がある。実施の形態3には、実施の形態1における補正残差を補正する因子として、さらに、ローディング効果補正残差P(x,y)を加える場合について説明する。
図20は、実施の形態3における描画方法のフローチャートの要部を示す図である。
図20において、電子ビーム描画方法は、電子ビーム描画動作前の準備工程と電子ビーム描画動作工程とを行なう。電子ビーム描画動作前の準備工程として、近接効果補正残差補正照射量計算工程(S102)、近接効果補正残差補正マップ作成工程(S104)、近接効果補正残差補正データテーブル作成工程(S106)、かぶり補正照射量計算工程(S202)、かぶり補正マップ作成工程(S204)、かぶり補正データテーブル作成工程(S206)、ローディング効果補正照射量計算工程(S302)、ローディング効果補正マップ作成工程(S304)、ローディング効果補正データテーブル作成工程(S306)、ローディング効果補正残差補正寸法測定工程(S312)、ローディング効果補正残差補正寸法マップ作成工程(S314)、マップ合成工程(S402)という一例の工程を実施する。かかる準備を経て、電子ビーム描画方法は、描画動作工程として、入力工程(S502)、近接効果補正照射量計算工程(S504)、近接効果補正残差補正データ取得工程(S506)、かぶり補正データ取得工程(S508)、ローディング効果補正データ取得工程(S510)、照射量合成工程(S512)、照射時間計算工程(S514)、照射工程(S516)という一例の工程を実施する。図20において、ローディング効果補正残差補正寸法計算工程(S312)とローディング効果補正残差補正寸法マップ作成工程(S314)が追加された点以外は、図1と同様である。また、描画装置100の構成は、図2と同様であるため説明を省略する。
実施の形態3では、実施の形態1と重複する部分の説明は省略し、実施の形態1と異なる部分について説明する。実施の形態3において、特に説明がない内容は、実施の形態1と同様である。
S312において、ローディング効果補正残差補正寸法測定工程として、まず、図19を実施の形態1の補正を行ない描画し、ローディング効果補正残差補正寸法となるエッチング後の50%パターンの寸法分布P(x,y)を測定する。
S314において、ローディング効果補正残差補正寸法マップ作成工程として、マップ作成部218は、寸法分布P(x,y)のデータを入力し、これを1mmのメッシュ領域毎のマップとして作成する。
そして、ローディング効果補正マップ作成工程(S304)において、式8に基づいて各メッシュ領域毎のローディング効果による寸法エラーL(x,y)を計算する場合に、式8の代わりに以下の式(式32)を用いて計算する。
Figure 2007258659
そして、式32で計算されたローディング効果による寸法エラーL(x,y)を用いて、階調値I(x,y)を計算し、かかる階調値I(x,y)を格納したローディング効果補正マップを作成する。よって、ローディング効果補正データテーブル作成工程(S306)でも、50%パターンの寸法分布P(x,y)を考慮して計算された階調値I(x,y)を用いて、IとIとkを引数としたローディング効果補正データT(I,I,k)のテーブルとなるローディング効果補正データテーブルを作成する。
以上のように、ローディング効果による寸法エラーL(x,y)を計算する場合に、エッチング後の50%パターンの寸法分布P(x,y)を追加することで、ローディング効果による寸法エラーL(x,y)の値を高精度化することができる。その結果、実施の形態1に比べ、さらに高精度化することができる。
以上の説明において、実施の形態2と実施の形態3との両方を実施してもよい。これにより、近接効果の残差とかぶりの残差とローディング効果の残差を補正した照射量で描画することができる。
以上の説明において、「〜部」或いは「〜工程」と記載したものの処理内容或いは動作内容は、コンピュータで動作可能なプログラムにより構成することができる。或いは、ソフトウェアとなるプログラムだけではなく、ハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、ファームウェアとの組合せでも構わない。また、プログラムにより構成される場合、プログラムは、磁気ディスク装置、磁気テープ装置、FD、或いはROM(リードオンリメモリ)等の記録媒体に記録される。例えば、磁気ディスク装置146に記録される。
また、図2及び図18において、コンピュータとなる制御計算機110及び/或いは制御計算機210は、さらに、図示していないバスを介して、記憶装置の一例となるRAM(ランダムアクセスメモリ)、ROM、磁気ディスク(HD)装置、入力手段の一例となるキーボード(K/B)、マウス、出力手段の一例となるモニタ、プリンタ、或いは、入力出力手段の一例となる外部インターフェース(I/F)、FD、DVD、CD等に接続されていても構わない。
以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。例えば、実施の形態では可変成形ビーム方式の電子ビーム描画装置を用いたが、これ以外の方式の描画装置にも適用できる。また、本発明は電子ビーム描画装置の使用目的を限定するものでは無い。例えば、マスクやウェハ上に直接レジストパターンを形成するという使用目的以外にも、光ステッパー用マスク、X線マスクなどを作成する際にも利用可能である。
また、上述した式4、式24、式31は必ずしもこのような関数系を取らなくてもよい。例えば、対数比例の式等でもよい。プロセスに合うように最適な形を選べばよい。また、メッシュサイズは近接効果補正のメッシュサイズより大きく、任意に取ることができる。最小のメッシュサイズに統一しておくとことで、処理が単純にできる。また、ビット長は必要な精度で設定すればよい。また、寸法感度と近接効果補正残差補正は、領域種別を別にしてもよい。また、寸法感度の面内位置での変化量が要求される精度に比べて小さい場合は、面内位置で変化させず一定(固定)の寸法感度を用いてもよい。
また、半導体デバイスの製造はウェハを用いて行なわれ、そのウェハ上へのパターンの形成には、EB描画装置で作成した試料となるマスクが用いられる。このマスクのパターンがウェハ上へ縮小転写される。そして、現像工程やエッチング工程等のプロセスを経る。このウェハへのパターン転写やその他のプロセスの過程で、寸法誤差が生じる場合がある。マスク上のパターンはウェハへ一括転写されるため、これらの寸法誤差は予めマスク作成の段階で補正する必要がある。ウェハ上の寸法誤差ε(x,y)[nm]は、パターン面積密度に依存する寸法誤差O(x,y)[nm]とウェハ上の位置に依存する寸法誤差Q(x,y)[nm]とで表すことができる。そして、パターン面積密度に依存する寸法誤差O(x,y)は、ウェハ寸法誤差補正係数δ[nm]とパターン面積密度ρとウェハ寸法誤差影響分布κ(x,y)を用いて、以下の式(式33)を用いて計算することができる。
Figure 2007258659
ここで、ウェハ寸法誤差影響分布κ(x,y)は、測定値に合わせて最適な分布を選べばよい。そして、上述した寸法誤差O(x,y)と寸法誤差Q(x,y)を用いて、ウェハ上の寸法誤差ε(x,y)は、以下の式(式34)を用いて計算することができる。
Figure 2007258659
そして、マスク上で補正する際のマスク上での寸法誤差ε(x,y)は、ウェハ転写時の縮小率αを用いて、以下の式(式35)を用いて計算することができる。
Figure 2007258659
ここで、マスク上での寸法誤差ε(x,y)は、ウェハ寸法誤差影響分布κにおける影響範囲の1/10程度のメッシュサイズで計算すると好適である。
そして、得られたマスク上での寸法誤差ε(x,y)を(式32)で示したローディグ効果による寸法エラーL(x,y)に加算する。すなわち、この合計値をローディグ効果による寸法エラーL(x,y)として用いることで、ウェハ寸法誤差も補正することができる。
また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。
その他、本発明の要素を具備し、当業者が適宜設計変更しうる全てのパターン作成方法、荷電粒子ビーム描画装置、及び荷電粒子ビーム描画方法は、本発明の範囲に包含される。
実施の形態1における描画方法のフローチャートの要部を示す図である。 実施の形態1における描画装置の要部構成の一例を示す概念図である。 実施の形態1における近接効果補正評価パターンの一例を示す図である。 実施の形態1における近接効果補正照射量とパターン密度との関係を示す図である。 実施の形態1における照射量と各パターン寸法との関係を示す図である。 実施の形態1における近接効果補正照射量と寸法感度との関係を示す図である。 実施の形態1におけるパターン寸法と近接効果補正照射量との関係を示す図である。 実施の形態1における近接効果補正照射量と近接効果補正残差補正照射量との関係を示す図である。 図8の点線で示すグラフの多項式の係数を示す図である。 実施の形態1における近接効果補正残差の分布の一例を示す図である。 実施の形態1における領域毎の近接効果補正照射量とパターン寸法との関係を示す図である。 実施の形態1における領域毎の近接効果補正照射量と寸法感度との関係を示す図である。 図12における領域毎の寸法感度を用いて、近接効果補正残差補正照射量を求めた結果を示す図である。 図13における領域毎の点線で示すグラフの多項式の係数を示す図である。 実施の形態1における近接効果補正残差補正データテーブルの一例を示す図である。 実施の形態1における補正マップの合成の仕方について説明するための概念図である。 実施の形態2における描画方法のフローチャートの要部を示す図である。 実施の形態2における描画装置の要部構成の一例を示す概念図である。 かぶりとローディング効果補正残差評価レイアウトを示す概念図である。 実施の形態3における描画方法のフローチャートの要部を示す図である。 従来の可変成形型電子線描画装置の動作を説明するための概念図である。
符号の説明
10 近接効果補正残差補正マップ
20 かぶり補正マップ
30 ローディング効果補正マップ
50 基板
52 近接効果補正評価パターン
100 描画装置
101,340 試料
102 電子鏡筒
103 描画室
105 XYステージ
110,210 制御計算機
112 近接効果補正照射量計算部
114 補正照射量取得演算部
116 照射量合成部
118 照射時間計算部
120 描画データ処理部
130,230 メモリ
140 偏向制御回路
146,148 磁気ディスク装置
150 描画部
152 パターンデータ
154 補正マップ
156 近接効果補正残差補正データテーブル
158 かぶり補正データテーブル
162 ローディング効果補正データテーブル
200 電子ビーム
201 電子銃
205 ブランキング偏向器
206 ブランキングアパーチャ
212 近接効果補正残差補正照射量計算部
214 かぶり補正照射量計算部
216 ローディング効果補正照射量計算部
218 マップ作成部
220 テーブル作成部
222 かぶり補正残差補正照射量計算部
330 電子線
410 第1のアパーチャ
411 開口
420 第2のアパーチャ
421 可変成形開口
430 荷電粒子ソース

Claims (10)

  1. 荷電粒子ビームを用いて試料にパターンを描画する荷電粒子ビーム描画における近接効果を補正する近接効果補正照射量を少なくとも含む前記荷電粒子ビーム描画における補正照射量を計算する補正照射量計算工程と、
    前記補正照射量の補正残差を補正する補正残差補正照射量を計算する補正残差補正照射量計算工程と、
    前記補正残差補正照射量で補正された前記補正照射量により補正される前記荷電粒子ビームの照射量を計算する照射量計算工程と、
    前記照射量になるように前記試料に前記荷電粒子ビームを照射する照射工程と、
    を備えたことを特徴とする荷電粒子ビーム描画方法。
  2. 前記補正残差補正照射量計算工程において、前記補正残差補正照射量として、前記近接効果補正照射量の補正残差を補正する近接効果補正残差補正照射量を計算することを特徴とする請求項1記載の荷電粒子ビーム描画方法。
  3. 前記試料の面内位置で変化する寸法感度を用いて、前記近接効果補正残差補正照射量を計算することを特徴とする請求項2記載の荷電粒子ビーム描画方法。
  4. 前記補正照射量計算工程において、前記補正照射量として、前記近接効果補正照射量の他に、前記試料の面内位置で変化する寸法感度を用いてローディング効果に起因する前記パターンの寸法変動を補正するローディング効果補正照射量を計算することを特徴とする請求項1〜3のいずれか記載の荷電粒子ビーム描画方法。
  5. 前記ローディング効果補正照射量には、ローディング効果補正残差が加算されることを特徴とする請求項4記載の荷電粒子ビーム描画方法。
  6. 前記ローディング効果補正照射量には、前記試料を半導体デバイスの製造に用いられるマスクとして用いて製造されるウェハ上に生じると予測される寸法誤差の値が加算されることを特徴とする請求項4記載の荷電粒子ビーム描画方法。
  7. 前記ローディング効果補正照射量には、さらに、ローディング効果補正残差が加算されることを特徴とする請求項6記載の荷電粒子ビーム描画方法。
  8. 前記補正照射量計算工程において、前記補正照射量として、前記近接効果補正照射量の他に、かぶりに起因する前記パターンの寸法変動を補正するかぶり補正照射量を計算することを特徴とする請求項1〜3のいずれか記載の荷電粒子ビーム描画方法。
  9. 前記かぶり補正の補正残差を、かぶり補正照射量に乗算することを特徴とする請求項8記載の荷電粒子ビーム描画方法。
  10. 荷電粒子ビームを用いて試料にパターンを描画する荷電粒子ビーム描画における近接効果に起因するパターンの寸法変動を補正する近接効果補正照射量を計算する近接効果補正照射量計算部と、
    前記近接効果補正照射量の補正残差を補正する近接効果補正残差補正照射量を計算する近接効果補正残差補正照射量計算部と、
    かぶりに起因する前記パターンの寸法変動を補正するかぶり補正照射量を計算するかぶり補正照射量計算部と、
    ローディング効果に起因する前記パターンの寸法変動を補正するローディング効果補正照射量を計算するローディング効果補正照射量計算部と、
    前記近接効果補正照射量と前記近接効果補正残差補正照射量と前記かぶり補正照射量と前記ローディング効果補正照射量とを合成して、前記荷電粒子ビームの照射量を計算する照射量計算部と、
    前記照射量で前記試料を前記荷電粒子ビームにより描画する描画部と、
    を備えたことを特徴とする荷電粒子ビーム描画装置。
JP2006191148A 2006-02-21 2006-07-12 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置 Active JP4976071B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006191148A JP4976071B2 (ja) 2006-02-21 2006-07-12 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US11/671,814 US7511290B2 (en) 2006-02-21 2007-02-06 Charged particle beam writing method and apparatus
KR1020070016884A KR100819293B1 (ko) 2006-02-21 2007-02-20 하전 입자 빔 묘화 방법 및 하전 입자 빔 묘화 장치

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006043497 2006-02-21
JP2006043497 2006-02-21
JP2006191148A JP4976071B2 (ja) 2006-02-21 2006-07-12 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置

Publications (2)

Publication Number Publication Date
JP2007258659A true JP2007258659A (ja) 2007-10-04
JP4976071B2 JP4976071B2 (ja) 2012-07-18

Family

ID=38427254

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006191148A Active JP4976071B2 (ja) 2006-02-21 2006-07-12 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置

Country Status (3)

Country Link
US (1) US7511290B2 (ja)
JP (1) JP4976071B2 (ja)
KR (1) KR100819293B1 (ja)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008078553A (ja) * 2006-09-25 2008-04-03 Toppan Printing Co Ltd 現像ローディング測定方法および現像ローディング測定基板
JP2009194062A (ja) * 2008-02-13 2009-08-27 Nuflare Technology Inc 荷電粒子ビーム描画装置、パターンの寸法誤差補正装置及びパターンの寸法誤差補正方法
JP2010098275A (ja) * 2008-09-18 2010-04-30 Nuflare Technology Inc 描画方法及び描画装置
JP2010219449A (ja) * 2009-03-18 2010-09-30 Nuflare Technology Inc 荷電粒子ビーム描画方法、荷電粒子ビーム描画装置及びプログラム
JP2011033932A (ja) * 2009-08-04 2011-02-17 Nuflare Technology Inc 荷電粒子ビーム描画装置および方法
JP2011228489A (ja) * 2010-04-20 2011-11-10 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2012015249A (ja) * 2010-06-30 2012-01-19 Nuflare Technology Inc 荷電粒子ビーム描画装置およびその制御方法
JP2012054361A (ja) * 2010-08-31 2012-03-15 Nuflare Technology Inc 荷電粒子ビーム描画装置およびその照射量補正方法
JP2012212792A (ja) * 2011-03-31 2012-11-01 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2013207254A (ja) * 2012-03-29 2013-10-07 Nuflare Technology Inc 荷電粒子ビーム描画装置、検査装置、及び描画データの検査方法
KR20140059703A (ko) * 2012-11-08 2014-05-16 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
US8912513B2 (en) 2012-03-22 2014-12-16 Nuflare Technology, Inc. Charged particle beam writing apparatus and charged particle beam writing method
JP2015035490A (ja) * 2013-08-08 2015-02-19 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2015515148A (ja) * 2012-04-18 2015-05-21 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9625809B2 (en) 2008-09-01 2017-04-18 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9715169B2 (en) 2008-09-01 2017-07-25 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9779913B2 (en) 2015-03-25 2017-10-03 Nuflare Technology, Inc. Charged particle beam drawing apparatus and drawing data generation method
US9859100B2 (en) 2012-04-18 2018-01-02 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US10031413B2 (en) 2011-09-19 2018-07-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
KR20190001571A (ko) * 2017-06-27 2019-01-04 꼼미사리아 아 레네르지 아토미끄 에뜨 옥스 에너지스 앨터네이티브즈 가변 형상 빔 전자 빔 리소그래피에서의 기본 작은 패턴의 교정

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4476975B2 (ja) 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
JP5209200B2 (ja) * 2006-11-29 2013-06-12 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法
JP5069052B2 (ja) * 2007-07-30 2012-11-07 日本電子株式会社 ドーズ補正方法及び荷電粒子ビーム描画装置
JP5301312B2 (ja) * 2008-03-21 2013-09-25 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置の較正用基板及び描画方法
JP5525798B2 (ja) * 2009-11-20 2014-06-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置およびその帯電効果補正方法
FR2959026B1 (fr) * 2010-04-15 2012-06-01 Commissariat Energie Atomique Procede de lithographie a optimisation combinee de l'energie rayonnee et de la geometrie de dessin
JP2012060054A (ja) * 2010-09-13 2012-03-22 Jeol Ltd 荷電粒子ビーム描画装置の描画方法及び荷電粒子ビーム描画装置
JP5764364B2 (ja) 2011-03-31 2015-08-19 株式会社ニューフレアテクノロジー 半導体装置の製造方法、描画装置、プログラム及びパターン転写装置
JP5692522B2 (ja) * 2011-04-06 2015-04-01 富士通セミコンダクター株式会社 偏在率算出方法、半導体装置の製造方法及びプログラム
JP5841819B2 (ja) * 2011-11-30 2016-01-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
TWI476806B (zh) * 2012-03-29 2015-03-11 Nuflare Technology Inc Charging Particle Beam Mapping Device and Inspection Method for Drawing Data
JP6076708B2 (ja) * 2012-11-21 2017-02-08 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビームの照射量チェック方法
USD759603S1 (en) 2013-07-17 2016-06-21 Nuflare Technology, Inc. Chamber of charged particle beam drawing apparatus
FR3010197B1 (fr) * 2013-08-28 2015-09-18 Aselta Nanographics Procede de correction des effets de proximite electronique
WO2015126246A1 (en) * 2014-02-21 2015-08-27 Mapper Lithography Ip B.V. Proximity effect correction in a charged particle lithography system
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
JP6951922B2 (ja) * 2016-09-28 2021-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム装置及び荷電粒子ビームの位置ずれ補正方法
US10921716B1 (en) 2019-10-08 2021-02-16 International Business Machines Corporation Lithographic dose characterization
US11804361B2 (en) * 2021-05-18 2023-10-31 Nuflare Technology, Inc. Charged particle beam writing method, charged particle beam writing apparatus, and computer-readable recording medium

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204415A (ja) * 1998-01-19 1999-07-30 Toshiba Corp 電子ビーム描画装置及び電子ビーム描画方法
JP2003045791A (ja) * 2001-07-21 2003-02-14 Samsung Electronics Co Ltd 電子ビームリソグラフィ時に線幅変化を補正して露光する方法及びこれを記録した記録媒体
JP2003303768A (ja) * 2002-02-08 2003-10-24 Toshiba Corp パターン形成方法および描画方法
JP2003318077A (ja) * 1996-02-23 2003-11-07 Toshiba Corp 荷電ビーム描画方法及び描画装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2647000B2 (ja) * 1994-05-25 1997-08-27 日本電気株式会社 電子ビームの露光方法
JPH0915866A (ja) * 1995-06-30 1997-01-17 Nikon Corp 荷電粒子線によるパターン転写方法および転写装置
JP3348586B2 (ja) * 1995-12-28 2002-11-20 ソニー株式会社 電子線リソグラフィ技術における近接効果補正法
JP3680425B2 (ja) 1996-06-19 2005-08-10 ソニー株式会社 フォトマスクの作製方法、及び、レジスト材料への電子線ビーム照射補正量の決定方法
JP3725841B2 (ja) * 2002-06-27 2005-12-14 株式会社東芝 電子ビーム露光の近接効果補正方法、露光方法、半導体装置の製造方法及び近接効果補正モジュール
JP4461806B2 (ja) 2004-01-06 2010-05-12 凸版印刷株式会社 パターン描画装置及びパターン描画方法ならびにそのプログラム、フォトマスクとその製造方法および半導体集積回路とその製造方法
TWI298430B (en) * 2004-03-31 2008-07-01 Hoya Corp Electron-beam plotting method, method of manufacturing lithographic mask, and electron-beam plotting device
JP5063071B2 (ja) * 2006-02-14 2012-10-31 株式会社ニューフレアテクノロジー パタン作成方法及び荷電粒子ビーム描画装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003318077A (ja) * 1996-02-23 2003-11-07 Toshiba Corp 荷電ビーム描画方法及び描画装置
JPH11204415A (ja) * 1998-01-19 1999-07-30 Toshiba Corp 電子ビーム描画装置及び電子ビーム描画方法
JP2003045791A (ja) * 2001-07-21 2003-02-14 Samsung Electronics Co Ltd 電子ビームリソグラフィ時に線幅変化を補正して露光する方法及びこれを記録した記録媒体
JP2003303768A (ja) * 2002-02-08 2003-10-24 Toshiba Corp パターン形成方法および描画方法

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008078553A (ja) * 2006-09-25 2008-04-03 Toppan Printing Co Ltd 現像ローディング測定方法および現像ローディング測定基板
JP2009194062A (ja) * 2008-02-13 2009-08-27 Nuflare Technology Inc 荷電粒子ビーム描画装置、パターンの寸法誤差補正装置及びパターンの寸法誤差補正方法
US9625809B2 (en) 2008-09-01 2017-04-18 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US10101648B2 (en) 2008-09-01 2018-10-16 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9715169B2 (en) 2008-09-01 2017-07-25 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
JP2010098275A (ja) * 2008-09-18 2010-04-30 Nuflare Technology Inc 描画方法及び描画装置
JP2010219449A (ja) * 2009-03-18 2010-09-30 Nuflare Technology Inc 荷電粒子ビーム描画方法、荷電粒子ビーム描画装置及びプログラム
JP2011033932A (ja) * 2009-08-04 2011-02-17 Nuflare Technology Inc 荷電粒子ビーム描画装置および方法
US8481964B2 (en) 2009-08-04 2013-07-09 Nuflare Technology, Inc. Charged particle beam drawing apparatus and method
JP2011228489A (ja) * 2010-04-20 2011-11-10 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2012015249A (ja) * 2010-06-30 2012-01-19 Nuflare Technology Inc 荷電粒子ビーム描画装置およびその制御方法
JP2012054361A (ja) * 2010-08-31 2012-03-15 Nuflare Technology Inc 荷電粒子ビーム描画装置およびその照射量補正方法
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
JP2012212792A (ja) * 2011-03-31 2012-11-01 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10031413B2 (en) 2011-09-19 2018-07-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US8912513B2 (en) 2012-03-22 2014-12-16 Nuflare Technology, Inc. Charged particle beam writing apparatus and charged particle beam writing method
JP2013207254A (ja) * 2012-03-29 2013-10-07 Nuflare Technology Inc 荷電粒子ビーム描画装置、検査装置、及び描画データの検査方法
US10431422B2 (en) 2012-04-18 2019-10-01 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9859100B2 (en) 2012-04-18 2018-01-02 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP2015515148A (ja) * 2012-04-18 2015-05-21 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
KR20140059703A (ko) * 2012-11-08 2014-05-16 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
KR102201802B1 (ko) * 2012-11-08 2021-01-12 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
JP2015035490A (ja) * 2013-08-08 2015-02-19 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US9779913B2 (en) 2015-03-25 2017-10-03 Nuflare Technology, Inc. Charged particle beam drawing apparatus and drawing data generation method
KR20190001571A (ko) * 2017-06-27 2019-01-04 꼼미사리아 아 레네르지 아토미끄 에뜨 옥스 에너지스 앨터네이티브즈 가변 형상 빔 전자 빔 리소그래피에서의 기본 작은 패턴의 교정
JP2019009445A (ja) * 2017-06-27 2019-01-17 コミサリヤ・ア・レネルジ・アトミク・エ・オ・エネルジ・アルテルナテイブ 可変成形ビーム電子ビームリソグラフィにおける基本小パターンの較正
JP7190267B2 (ja) 2017-06-27 2022-12-15 コミサリヤ・ア・レネルジ・アトミク・エ・オ・エネルジ・アルテルナテイブ 可変成形ビーム電子ビームリソグラフィにおける基本小パターンの較正
KR102546380B1 (ko) * 2017-06-27 2023-06-21 꼼미사리아 아 레네르지 아토미끄 에뜨 옥스 에너지스 앨터네이티브즈 가변 형상 빔 전자 빔 리소그래피에서의 기본 작은 패턴의 교정

Also Published As

Publication number Publication date
JP4976071B2 (ja) 2012-07-18
KR20070083414A (ko) 2007-08-24
US7511290B2 (en) 2009-03-31
US20070194250A1 (en) 2007-08-23
KR100819293B1 (ko) 2008-04-03

Similar Documents

Publication Publication Date Title
JP4976071B2 (ja) 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP4476975B2 (ja) 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
JP5063071B2 (ja) パタン作成方法及び荷電粒子ビーム描画装置
US8610091B2 (en) Charged particle beam writing apparatus and charged particle beam writing method
US7619230B2 (en) Charged particle beam writing method and apparatus and readable storage medium
JP5020849B2 (ja) 荷電粒子ビーム描画装置、パターンの寸法誤差補正装置及びパターンの寸法誤差補正方法
JP5199896B2 (ja) 描画方法及び描画装置
JP5636460B2 (ja) 描画方法及び描画装置
JP2007220728A (ja) 荷電粒子ビーム描画装置、荷電粒子ビーム描画方法及びプログラム
JP2009064862A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP4476987B2 (ja) 荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
JP2008134500A (ja) 荷電粒子ビーム描画方法及びローディング効果に伴う寸法変動量リサイズ方法
JP2011228503A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5731257B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5443548B2 (ja) パタン作成方法及び荷電粒子ビーム描画装置
JP5242963B2 (ja) 荷電粒子ビーム描画装置、パターン寸法のリサイズ装置、荷電粒子ビーム描画方法及びパターン寸法のリサイズ方法
JP5441806B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5401135B2 (ja) 荷電粒子ビーム描画方法、荷電粒子ビーム描画装置及びプログラム
JP5525902B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5871557B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2012069667A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2009016408A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2012134213A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090416

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120412

R150 Certificate of patent or registration of utility model

Ref document number: 4976071

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150420

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250