JP2006005344A - 半導体パターン形成方法 - Google Patents

半導体パターン形成方法 Download PDF

Info

Publication number
JP2006005344A
JP2006005344A JP2005168760A JP2005168760A JP2006005344A JP 2006005344 A JP2006005344 A JP 2006005344A JP 2005168760 A JP2005168760 A JP 2005168760A JP 2005168760 A JP2005168760 A JP 2005168760A JP 2006005344 A JP2006005344 A JP 2006005344A
Authority
JP
Japan
Prior art keywords
film
pattern
antireflection film
etching
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005168760A
Other languages
English (en)
Other versions
JP4781723B2 (ja
Inventor
Jin Hong
震 洪
Meiko Tei
明浩 鄭
Hyun Woo Kim
賢友 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2006005344A publication Critical patent/JP2006005344A/ja
Application granted granted Critical
Publication of JP4781723B2 publication Critical patent/JP4781723B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】 半導体パターン形成方法を提供する。
【解決手段】 この方法は無機ハードマスク膜、有機マスク膜、反射防止膜及びシリコン含有フォトレジスト膜が積層された多層のマスク層を形成し、Oプラズマで前記反射防止膜及び有機マスク膜を乾式エッチングしてパターンを形成することによって無機ハードマスク膜の損傷を防止することができる。
【選択図】 図2

Description

本発明は半導体素子の製造方法に係り、さらに具体的には半導体素子のパターン形成方法に関する。
半導体素子のパターン形成方法は下部膜上にフォトレジスト膜を形成し、露光及びエッチング工程を適用してフォトレジストパターンを形成し、前記フォトレジストパターンをエッチングマスクとして使用して前記下部膜をパターニングすることを含む。
通常、露光光の反射を防止するためにフォトレジスト膜を形成する前に反射防止膜を形成することが一般的である。前記反射防止膜は感光性を有しないが、フォトレジスト膜のような有機膜で形成する。素子の高集積化に従って露光光の波長が短くなることによって、フォトレジスト膜の厚さを薄く形成することが要求される。この際、下部膜のエッチング時、十分なエッチング耐性を提供するために下部膜上にハードマスク膜を形成し、前記ハードマスク膜をパターニングしてハードマスクパターンを形成した後、前記ハードマスクパターンをエッチングマスクとして使用して前記下部膜をエッチングする。
一方、最近は素子の高集積化のためにトランジスタの大きさを縮小する趨勢であり、このような趨勢に従ってトランジスタの電流量を確保するために3次元構造のトランジスタまたはマルチチャンネル構造のトランジスタが紹介されている。
図1A乃至図1Eは従来のパターン形成方法を適用したマルチチャンネル構造のトランジスタ製造方法を説明するための図である。
図1Aを参照すれば、半導体基板10をパターニングして垂直に伸ばした活性領域10aを形成する。前記活性領域10aが形成された結果物上にゲート絶縁膜11、ゲート導電膜12、ハードマスク膜14及び反射防止膜18を順次形成し、前記反射防止膜18上にフォトレジストパターン20pを形成する。図示したように、前記ゲート導電膜12及び前記ハードマスク膜14まで形成された非平坦面上に前記反射防止膜18が形成されて平坦化される。一般的に、前記ハードマスク膜14でシリコンオキシナイトライド(silicon oxynitride)が使用され、前記反射防止膜18では感光性を有しない有機膜が使用される。
図1Bを参照すれば、前記フォトレジストパターン20pをエッチングマスクとして使用して前記反射防止膜18をエッチングして反射防止膜パターン18pを形成する。この際、前記活性領域10aの間には厚い反射防止膜18が形成されており、前記活性領域10aの上部には相対的に薄い反射防止膜が形成されている。したがって、前記活性領域10aの間の反射防止膜を完全に除去するために過度なエッチングが行われて、図示したようにフォトレジストパターン20pが損傷を受けてその厚さ及び幅が減少するなどのパターン不良が発生する。また、前記活性領域10aの上部のハードマスク膜14もエッチング損傷を受けるようになる。
図1Cを参照すれば、続いて、前記ハードマスク膜14をエッチングしてハードマスクパターン14pを形成する。この際、前記フォトレジストパターン20pの損傷が深刻化して前記ハードマスクパターン14pの形態も変形させられる。特に、前記活性領域10aの上部でハードマスクパターン14pの変形がさらにひどくなる。また、前記反射防止膜18をエッチングする段階から持続した過渡エッチングによって前記活性領域10aの上部のゲート導電膜12も損傷を受けるようになる。このような問題はゲート線幅を減らすトリム工程(trim process)が適用される時、前記活性領域10a上のゲート線幅が過度に減ってゲートパターンの断線を誘発する可能性がある。
図1Dを参照すれば、前記フォトレジストパターン20p及び前記反射防止膜パターン18pを除去して前記ハードマスクパターン14pを露出させる。図示したように、前記活性領域10aの上部のハードマスクパターン14pは過度なエッチングによってその線幅が縮まり、表面状態(profile)も非常に不良に形成される。前記ハードマスクパターン14pをエッチングマスクとして使用して前記ゲート導電膜12をエッチングしてゲートパターン12pを形成する。この際、前記反射防止膜パターン18pをエッチングする段階から伝写されたエッチング損傷によってゲート絶縁膜11が過エッチングされ、垂直に伸ばした活性領域10aの上部面もエッチング損傷を受けるようになり、さらにひどい場合、前記ゲートパターン12pのエッジに沿って前記活性領域が過度にエッチングされてデントが発生する可能性もある。
図1Eを参照すれば、前記ハードマスクパターン14pを除去して前記ゲートパターン12pを露出させる。図示したように従来技術によれば、活性領域の段差によって下部膜の厚さが変わるので、厚い下部膜をエッチングする間、薄い下部膜が過エッチングされてゲートパターンのプロファイルが非常に不良に形成される。ゲート線幅が小さい場合にはゲートラインが切れるか、部分的に細く形成されて抵抗が増加するなどの問題を引き起こす。
U.S No.2003-0104704号明細書
本発明の課題は、パターンの不良を誘発せず、微細パターンを形成することができるパターン形成方法を提供することにある。
上述の課題を達成するために本発明は無機膜及び有機膜が積層された多層マスクを利用したパターン形成方法を提供する。この方法は下部膜が形成された基板上に無機ハードマスク膜、有機マスク膜、反射防止膜を順次積層し、前記反射防止膜上にシリコン含有フォトレジストパターンを形成することを含む。Oプラズマアッシングを適用して前記反射防止膜及び前記有機マスク膜を乾式エッチングする。この際、前記フォトレジストパターンのシリコンとOプラズマが結合されて前記フォトレジストパターンに酸化膜グラス(oxide glass)が形成される。前記フォトレジストパターン、前記反射防止膜及び前記有機マスク膜をエッチングマスクとして使用して前記ハードマスク膜をエッチングする。前記フォトレジストパターン、前記反射防止膜及び前記有機マスク膜を除去する。前記ハードマスク膜をエッチングマスクとして使用して前記下部膜をエッチングする。
前記反射防止膜は仮橋結合(crosslink)が強いので、シリコン含有フォトレジストからシリコン原子の拡散が強くはないが、前記反射防止膜をエスィングする段階の以前にCHF系列のエッチングガスを使用して前記反射防止膜の表面のシリコン化合物を除去することが望ましい。
また、前記反射防止膜及び前記有機マスク膜をエスィングする段階で、前記反射防止膜及び前記有機マスク膜を側方向に凹ませて、前記ハードマスクパターンより線幅が狭い反射防止膜パターン及び有機マスク膜パターンを形成するトリム工程(trim process)を実施することもできる。
本発明によれば、シリコン含有フォトレジストをエッチングマスクとして使用して平坦化された有機マスク膜をエッチングすることによって、有機マスク膜をエッチングする間、下部の無機ハードマスク膜が損傷を受けない。したがって、ハードマスクパターンのプロファイルが不良にならず、ハードマスクパターンをエッチングマスクとして使用してパターニングされるゲートパターンのパターン不良を起こさない。また、シリコン含有フォトレジストと有機マスク膜との間に高い仮橋結合を有する反射防止膜を形成することによってフォトレジストパターン形成後シリコン化合物が残存することを抑制することができる。
以下、本発明の望ましい実施形態を添付の図を参照して詳細に説明する。本発明はここで説明される実施形態に限定されず、他の形態に具体化されることもできる。むしろ、ここで紹介される実施形態は開示された内容が徹底した完全なものになれるように、そして当業者に本発明の思想を十分に伝達するために提供されるものである。図において、層及び領域の厚さは明確性のために誇張されたものである。層が他の層または基板“上”にあると言及される場合に、それは他の層または基板上に直接形成されることができ、またはそられの間に第3の層を介在させることができる。構造物が他の構造物、または基板に“隣接して”いると言及される場合に、それを他の構造物または基板に直接接して形成されることができ、またはそれらの間に第3の構造物を介在させることができる。また、段階が異なる段階“以前”または“以後”に実施されると言及される場合に、それは他の段階と直接連結されて実施されるか、それらの間に第3の段階を追加することもできる。
図2は本発明の望ましい実施形態による半導体パターン形成方法を説明するためのフローチャートである。
図3A乃至図3Fは本発明の一実施形態による半導体パターン形成方法を説明するための図である。
図2のS1段階及び図3Aを参照すれば、下部膜52が形成された基板50上に無機ハードマスク膜54、有機マスク膜56、反射防止膜58及びシリコン含有フォトレジスト膜60を順次に積層する。前記ハードマスク膜54はシリコンオキシナイトライドまたはシリコン窒化膜でありうる。前記有機マスク膜56は前記ハードマスク膜54を除去するプラズマに対する耐性が強い物質として、例えばシリコンが除去されたSiLK、Novolak、Spin on Carbon、ナフタリン系有機物(naphthalene based organic material)などで形成することができる。前記反射防止膜58は反射度(reflectivity)が低い通常の有機ARC(organic antireflection coating)で形成することができる。反射防止膜は高い仮橋結合を有する物質であるので、一般的な有機膜またはフォトレジスト膜に比べてフォトレジストに含有されたシリコンの拡散を最小化することができる。前記シリコン含有フォトレジスト膜60はArF、KrFまたはF2用のフォトレジストでありうる。前記有機マスク膜56は基板の段差を平坦化するように1000Å乃至3000Å程度の厚さで形成し、前記反射防止膜58は相対的に薄い250Å乃至450Åの厚さで形成することができる。また、前記フォトレジスト膜60は1000Å乃至2000Åの厚さで形成することができる。しかし、必要ならば前記物質の厚さは適切に調節することができる。
図2のS2及び図3Bを参照すれば、前記シリコン含有フォトレジスト膜60をパターニングしてフォトレジストパターン60pを形成する。前記反射防止膜58が高い仮橋結合を有しても前記シリコン含有フォトレジスト膜60に含有されたシリコンが前記反射防止膜58の表面に拡散することもできる。したがって、CHF系列のエッチングガスを利用して前記反射防止膜58の表面に形成されたシリコン化合物58sを除去することが望ましい(図2のS3)。CHF系列のガスではCHF、CHF及びCHを例としてあげることができる。この際、CF、Ar及びOを添加することもできる。前記シリコン化合物58sの除去は5秒乃至30秒程度で実施してシリコンが含有されたフォトレジスト膜の損傷を最小化することが望ましい。
図2のS4及び図3Cを参照すれば、Oプラズマアッシングを利用して前記反射防止膜58及び前記有機マスク膜56を乾式エッチングする。この際、前記CHF系列のガスを利用したシリコン化合物の除去とOプラズマアッシングはインシチュー(in-situ)で実施することもできる。前記Oプラズマアッシングを実施する間、前記シリコン含有フォトレジスト膜のシリコンと酸素が反応して酸化膜グラス(oxide glass;60s)が前記シリコン含有フォトレジストパターン60pに形成される。したがって、前記反射防止膜58及び前記有機マスク膜56がアッシングされる間、前記シリコン含有フォトレジストパターン60pは十分なエッチング耐性を有するエッチングマスクを提供することができる。前記Oプラズマアッシングによって前記ハードマスク膜54が露出された開口部62を有する有機マスク膜56及び反射防止膜パターン58pが形成される。
微細パターンを形成するためにトリム工程を実施することができる。図3Dに示したように、前記有機マスク膜56及び前記反射防止膜58を乾式エッチングする間、前記反射防止膜及び前記有機マスク膜を側方向に凹ませ、前記有機マスクパターン56p'及び前記反射防止膜パターン58p'の線幅が前記フォトレジストパターン60pより小さいアンダーカット64を形成することもできる。
図2のS5及び図3Eを参照すれば、前記フォトレジストパターン60p、前記反射防止膜パターン58p及び前記有機マスクパターン56pをエッチングマスクとして使用して前記無機ハードマスク膜54を乾式エッチングする。その結果、前記下部膜52が露出されたオープニング62'を有するハードマスクパターン54pが形成される。前記ハードマスク膜54を乾式エッチングする間、前記フォトレジストパターン60pのシリコン化合物58sをともに除去することができる。
図2のS6、S7及び図3Fを参照すれば、残留された前記フォトレジストパターン60p、前記反射防止膜パターン58p、前記有機マスクパターン56pを除去する。続いて、前記ハードマスクパターン54pをエッチングマスクとして使用して前記下部膜52をエッチングして下部膜パターン52pを形成する。
本発明によれば、前記反射防止膜パターン58p及び前記有機マスクパターン56pはOプラズマアッシングによって乾式エッチングされる。したがって、前記有機マスクパターン56pをエッチングする間、無機ハードマスク膜はエッチング損傷を受けない。したがって、パターン不良が発生しないハードマスクパターン52pをエッチングマスクとして使用して下部膜をパターニングすることによって下部膜パターンのパターン不良を起こすことなく、過エッチングによる活性領域の損傷を防止することができる。
図4A乃至図4Fは3次元トランジスタ製造工程に適用された本発明の他の実施形態によるパターン形成方法を説明するための図である。
図4Aを参照すれば、基板100上に複数個の垂直に伸ばした活性領域100aを形成する。図示したようにSOI基板を利用して前記活性領域100aを形成することができる。すなわち、支持基板100、埋没絶縁層200及び半導体層からなるSOI基板の前記半導体層をパターニングして前記活性領域100aを形成することができる。または、基板をエッチングして突き出された活性領域及びトレンチを形成した後、前記活性領域の間に素子分離膜を形成することによって垂直に伸ばした活性領域を形成することもできる。
続いて、図4Aを参照すれば、前記活性領域100aが形成された結果物の全面にゲート絶縁膜101、ゲート導電膜102及び無機ハードマスク膜104を順次コンフォマルに形成する。前記ゲート導電膜102は金属または半導体膜で形成することができる。例えば、前記ゲート導電膜102はタングステン、タングステンシリサイド、チタン、チタン窒化膜、タンタル窒化膜、白金、シリコン膜またはシリコンゲルマニュム膜などの導電膜で形成することができる。前記無機ハードマスク膜104上に前記活性領域100aの間のギャップ領域を満たす平坦化された有機マスク膜106を形成し、前記有機マスク膜106上に反射防止膜108を形成する。前記有機マスク膜106は前記ハードマスク膜104を除去するプラズマに対する耐性が強い物質として、例えばシリコンが除去されたSiLK、Novolak、Spin on Carbon、ナフタリン系有機物(naphthalene based organic material)などで形成することができる。前記反射防止膜108は反射度(reflectivity)が低い通常の有機ARC(organic antireflection coating)で形成することができる。反射防止膜は高い仮橋結合を有する物質であるので、一般的な有機膜またはフォトレジスト膜に比べてフォトレジストに含有されたシリコンの拡散が最小化されることができる。前記反射防止膜108上に前記活性領域100aの上部を横切るフォトレジストパターン110pを形成する。前記フォトレジストパターンはArF、KrFまたはF用のシリコンが含有されたフォトレジストで形成することができる。前記有機マスク膜106は基板の段差を平坦化するように1000Å乃至3000Å程度の厚さで形成し、前記反射防止膜108は相対的に薄い250Å乃至450Åの厚さで形成することができる。また、前記フォトレジストパターン110pは1000Å乃至2000Åの厚さで形成することができる。しかし、必要ならば前記物質の厚さは適切に調節することができる。
図4Bを参照すれば、Oプラズマアッシングを利用して前記反射防止膜108及び前記有機マスク膜106を乾式エッチングする。前記反射防止膜108が高い仮橋結合を有しても前記シリコン含有フォトレジスト膜に含有されたシリコンが前記反射防止膜108の表面に拡散することもできる。したがって、前記反射防止膜108をエッチングする前にCHF系列のエッチングガスを利用して前記反射防止膜108の表面に形成されたシリコン化合物を除去することが望ましい。CHF系列のガスでは、CHF、CHF及び CHを例としてあげることができる。この際、CF、Ar及びOを添加することもできる。前記シリコン化合物の除去は5秒乃至30秒程度で実施してシリコンが含有されたフォトレジスト膜の損傷を最小化することが望ましい。この際、前記CHF系列のガスを利用したシリコン化合物の除去とOプラズマアッシングはインシチュー(in-situ)で実施することもできる。前記Oプラズマアッシングを実施する間、前記シリコン含有フォトレジスト膜のシリコンと酸素が反応して酸化膜グラス110sが前記シリコン含有フォトレジストパターン110pに形成される。したがって、前記反射防止膜108及び前記有機マスク膜106がアッシングされる間、前記シリコン含有フォトレジストパターン110pは十分なエッチング耐性を有するエッチングマスクを提供することができる。前記Oプラズマアッシングによって有機マスクパターン106p及び反射防止膜パターン108pが形成される。
本発明は前記反射防止膜108及び前記有機マスク膜106を乾式エッチングするのにOプラズマアッシングを利用する。したがって、無機物である前記ハードマスク膜104は前記Oプラズマアッシングによってエッチングされない。すなわち、前記活性領域100aの間のギャップ領域に形成された厚い前記有機マスク膜106をエッチングする間、前記活性領域100aの上部の前記ハードマスク膜104はほとんどエッチング損傷を受けない。
図4Cに示したように、微細パターンを形成するためにトリム工程を実施することができる。前記有機マスク膜106及び前記反射防止膜108を乾式エッチングする間、前記反射防止膜及び前記有機マスク膜を側方向に凹ませ、前記有機マスクパターン106p及び前記反射防止膜パターン108pの線幅が前記フォトレジストパターン110pより小さいアンダーカットを形成することもできる。
図4Dを参照すれば、前記フォトレジストパターン110p、前記反射防止膜パターン108p及び前記有機マスクパターン106pをエッチングマスクとして使用して前記無機ハードマスク膜104を乾式エッチングする。その結果、前記ゲート導電膜102を露出させるハードマスクパターン104pが形成される。前記ハードマスク膜104を乾式エッチングする間、前記フォトレジストパターン110pのシリコン化合物を共に除去することができる。本発明はOプラズマアッシングによって形成されたマスクパターンを利用して前記ハードマスクパターン104pを形成するので、前記ハードマスクパターン104pは優れたプロファイルを有することができる。
図4Eを参照すれば、残留した前記フォトレジストパターン110p、前記反射防止膜パターン108p、前記有機マスクパターン106pを除去する。続いて、前記ハードマスクパターン104pをエッチングマスクとして使用して前記ゲート導電膜102をエッチングしてゲートパターン102pを形成する。前記ゲート絶縁膜101もパターニングされてゲート絶縁膜パターン101pを形成することもできる。
従来技術による半導体パターン形成方法を示した図である。 従来技術による半導体パターン形成方法を示した図である。 従来技術による半導体パターン形成方法を示した図である。 従来技術による半導体パターン形成方法を示した図である。 従来技術による半導体パターン形成方法を示した図である。 本発明の望ましい実施形態による半導体パターン形成方法を説明するためのフローチャートである。 本発明の一実施形態による半導体パターン形成方法を説明するための図である。 本発明の一実施形態による半導体パターン形成方法を説明するための図である。 本発明の一実施形態による半導体パターン形成方法を説明するための図である。 本発明の一実施形態による半導体パターン形成方法を説明するための図である。 本発明の一実施形態による半導体パターン形成方法を説明するための図である。 本発明の一実施形態による半導体パターン形成方法を説明するための図である。 本発明の他の実施形態による半導体パターン形成方法を説明するための図である。 本発明の他の実施形態による半導体パターン形成方法を説明するための図である。 本発明の他の実施形態による半導体パターン形成方法を説明するための図である。 本発明の他の実施形態による半導体パターン形成方法を説明するための図である。 本発明の他の実施形態による半導体パターン形成方法を説明するための図である。 本発明の他の実施形態による半導体パターン形成方法を説明するための図である。
符号の説明
50 基板
52 下部膜
52p 下部膜パターン
54 無機ハードマスク膜
54p ハードマスクパターン
56 有機マスク膜
56p 有機マスクパターン
56p' 有機マスクパターン
58 反射防止膜
58p 反射防止膜パターン
58p' 反射防止膜パターン
58s シリコン化合物
60 シリコン含有フォトレジスト膜
60p フォトレジストパターン
62 開口部
62' オープニング
64 アンダーカット
100 基板
100a 活性領域
101 ゲート絶縁膜
101p ゲート絶縁膜パターン
102 ゲート導電膜
102p ゲートパターン
104 無機ハードマスク膜
104p ハードマスクパターン
106 有機マスク膜
106p 有機マスクパターン
108 反射防止膜
108p 反射防止膜パターン
110p フォトレジストパターン
110s 酸化膜グラス
200 埋没絶縁層

Claims (9)

  1. 下部膜が形成された基板上に無機ハードマスク膜、有機マスク膜、反射防止膜を順次積層する段階と、
    前記反射防止膜上にシリコン含有フォトレジストパターンを形成する段階と、
    プラズマアッシングを実施して前記シリコン含有フォトレジストパターンの露出面を酸化膜グラスに変えると同時に前記反射防止膜及び前記有機マスク膜を乾式エッチングする段階と、
    前記フォトレジストパターン、前記反射防止膜及び前記有機マスク膜をエッチングマスクとして使用して前記ハードマスク膜をエッチングする段階と、
    前記フォトレジストパターン、前記反射防止膜及び前記有機マスク膜を除去する段階と、
    前記無機ハードマスク膜をエッチングマスクとして使用して前記下部膜をエッチングする段階とを含むことを特徴とするパターン形成方法。
  2. 前記反射防止膜をエスィングする段階の以前に、
    CHF系列のエッチングガスを使用して前記反射防止膜のシリコン化合物を除去する段階をさらに含むことを特徴とする請求項1に記載のパターン形成方法。
  3. 前記反射防止膜及び前記有機マスク膜を側方向にエッチングして、前記ハードマスクパターンより線幅が狭い反射防止膜パターン及び有機マスク膜パターンを形成することを特徴とする請求項1に記載のパターン形成方法。
  4. 前記無機ハードマスク膜をエッチングする段階で、
    前記酸化膜グラスが除去されることを特徴とする請求項1に記載のパターン形成方法。
  5. 垂直に伸ばした活性領域が形成された基板上にゲート絶縁膜、ゲート導電膜及び無機ハードマスク膜をコンフォマルに形成する段階と、
    前記無機ハードマスク膜上に平坦化された有機マスク膜及び反射防止膜を形成する段階と、
    前記反射防止膜上にシリコン含有フォトレジストパターンを形成する段階と、
    プラズマアッシングを実施して前記シリコン含有フォトレジストパターンの露出面を酸化膜グラスに変えると同時に前記反射防止膜及び前記有機マスク膜を乾式エッチングする段階と、
    前記シリコン含有フォトレジストパターン、前記反射防止膜及び前記有機マスク膜をエッチングマスクとして使用して前記無機ハードマスク膜をパターニングしてハードマスクパターンを形成する段階と、
    前記フォトレジストパターン、前記反射防止膜及び前記有機マスク膜を除去する段階と、
    前記ハードマスクパターンをエッチングマスクとして使用して前記ゲート導電膜をエッチングしてゲートパターンを形成する段階と、
    前記ハードマスクパターンを除去する段階とを含むことを特徴とする半導体パターン形成方法。
  6. 前記Oプラズマアッシング段階の以前に、
    CHF系列のエッチングガスを利用して前記反射防止膜の表面のシリコン含有層を除去する段階をさらに含むことを特徴とする請求項5に記載の半導体パターン形成方法。
  7. 前記反射防止膜の表面のシリコン含有層の除去及び前記Oプラズマアッシングはインシチューで実施することを特徴とする請求項6に記載の半導体パターン形成方法。
  8. 前記反射防止膜及び前記有機マスク膜を横に凹ませ、前記フォトレジストパターンの線幅より小さい反射防止膜パターン及び有機マスクパターンを形成することを特徴とする請求項5に記載の半導体パターン形成方法。
  9. プラズマアッシングはHBrプラズマをさらに含むことを特徴とする請求項5に記載の半導体パターン形成方法。
JP2005168760A 2004-06-17 2005-06-08 半導体パターン形成方法 Expired - Fee Related JP4781723B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2004-045052 2004-06-17
KR1020040045052A KR100598105B1 (ko) 2004-06-17 2004-06-17 반도체 패턴 형성 방법

Publications (2)

Publication Number Publication Date
JP2006005344A true JP2006005344A (ja) 2006-01-05
JP4781723B2 JP4781723B2 (ja) 2011-09-28

Family

ID=35514367

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005168760A Expired - Fee Related JP4781723B2 (ja) 2004-06-17 2005-06-08 半導体パターン形成方法

Country Status (3)

Country Link
US (1) US20060003268A1 (ja)
JP (1) JP4781723B2 (ja)
KR (1) KR100598105B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867853B2 (en) 2007-12-27 2011-01-11 Elpida Memory, Inc. Method of manufacturing semiconductor device and semiconductor Fin-shaped channel
US7913351B2 (en) 2006-08-28 2011-03-29 Tokyo Electron Limited Cleaning apparatus and cleaning method
JP2011258695A (ja) * 2010-06-08 2011-12-22 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法
JP2021007186A (ja) * 2016-03-29 2021-01-21 東京エレクトロン株式会社 被処理体を処理する方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7056916B2 (en) * 2002-11-15 2006-06-06 Boehringer Ingelheim Pharma Gmbh & Co. Kg Medicaments for the treatment of chronic obstructive pulmonary disease
US7220742B2 (en) 2004-05-14 2007-05-22 Boehringer Ingelheim International Gmbh Enantiomerically pure beta agonists, process for the manufacture thereof and use thereof as medicaments
US20050255050A1 (en) * 2004-05-14 2005-11-17 Boehringer Ingelheim International Gmbh Powder formulations for inhalation, comprising enantiomerically pure beta agonists
KR101051165B1 (ko) 2004-12-16 2011-07-21 주식회사 하이닉스반도체 반도체 소자의 리소그라피 방법
KR100608380B1 (ko) * 2005-06-01 2006-08-08 주식회사 하이닉스반도체 메모리 소자의 트랜지스터 및 그 제조방법
MX2008001976A (es) * 2005-08-15 2008-03-25 Boehringer Ingelheim Int Procedimiento para la preparacion de betamimeticos.
KR100811431B1 (ko) * 2005-12-28 2008-03-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7807336B2 (en) * 2005-12-28 2010-10-05 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
KR100861176B1 (ko) * 2006-01-02 2008-09-30 주식회사 하이닉스반도체 무기계 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR100757414B1 (ko) * 2006-06-26 2007-09-10 삼성전자주식회사 반도체 제조용 마스크 패턴 형성 방법
US7883835B2 (en) * 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US20080073321A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial etching
US7858293B2 (en) * 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US7811747B2 (en) * 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US7767386B2 (en) * 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
US7932017B2 (en) 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
KR100833598B1 (ko) * 2007-06-29 2008-05-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100876816B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7989357B2 (en) * 2007-12-05 2011-08-02 International Business Machines Corporation Method of patterning semiconductor structure and structure thereof
US7846645B2 (en) * 2007-12-14 2010-12-07 Tokyo Electron Limited Method and system for reducing line edge roughness during pattern etching
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
JP5068831B2 (ja) * 2010-02-05 2012-11-07 信越化学工業株式会社 レジスト下層膜材料、レジスト下層膜形成方法、パターン形成方法
JP5485188B2 (ja) * 2011-01-14 2014-05-07 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07263293A (ja) * 1994-03-17 1995-10-13 Fujitsu Ltd 多層レジストマスクのパターニング方法
JPH1093093A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置およびその製造方法
JP2003282550A (ja) * 2001-11-12 2003-10-03 Hynix Semiconductor Inc 半導体素子の製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5756256A (en) * 1992-06-05 1998-05-26 Sharp Microelectronics Technology, Inc. Silylated photo-resist layer and planarizing method
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JP2004512672A (ja) * 2000-06-06 2004-04-22 イーケーシー テクノロジー,インコーポレイティド 電子材料製造法
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US7354847B2 (en) * 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07263293A (ja) * 1994-03-17 1995-10-13 Fujitsu Ltd 多層レジストマスクのパターニング方法
JPH1093093A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置およびその製造方法
JP2003282550A (ja) * 2001-11-12 2003-10-03 Hynix Semiconductor Inc 半導体素子の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7913351B2 (en) 2006-08-28 2011-03-29 Tokyo Electron Limited Cleaning apparatus and cleaning method
US7867853B2 (en) 2007-12-27 2011-01-11 Elpida Memory, Inc. Method of manufacturing semiconductor device and semiconductor Fin-shaped channel
JP2011258695A (ja) * 2010-06-08 2011-12-22 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法
JP2021007186A (ja) * 2016-03-29 2021-01-21 東京エレクトロン株式会社 被処理体を処理する方法
JP7061653B2 (ja) 2016-03-29 2022-04-28 東京エレクトロン株式会社 被処理体を処理する方法

Also Published As

Publication number Publication date
JP4781723B2 (ja) 2011-09-28
KR20050119910A (ko) 2005-12-22
US20060003268A1 (en) 2006-01-05
KR100598105B1 (ko) 2006-07-07

Similar Documents

Publication Publication Date Title
JP4781723B2 (ja) 半導体パターン形成方法
KR20000044928A (ko) 반도체 소자의 트랜치 형성 방법
US20040127037A1 (en) Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
US8071487B2 (en) Patterning method using stacked structure
KR100551071B1 (ko) 반도체소자 제조방법
JP2004096105A (ja) 半導体装置の製造でコンタクト形成方法
KR100939109B1 (ko) 반도체소자 제조방법
US6287752B1 (en) Semiconductor device, method of manufacturing a semiconductor device, and method of forming a pattern for semiconductor device
TWI236729B (en) Method for fabricating semiconductor device
KR100472035B1 (ko) 반도체 소자의 제조 방법
JP2006295172A (ja) フラッシュメモリ素子の製造方法
KR100527573B1 (ko) 콘택홀 형성 방법
KR20040059981A (ko) 하드마스크의 경사 프로파일을 방지할 수 있는 ArF노광원을 이용한 반도체소자 제조 방법
JP2008270522A (ja) 半導体装置の製造方法
KR20060114446A (ko) 반도체소자의 제조방법
KR100440776B1 (ko) 불화아르곤 노광원을 이용한 반도체 소자 제조 방법
KR100356478B1 (ko) 반도체 소자의 게이트 전극 형성 방법
KR20030058247A (ko) 패턴 변형을 방지할 수 있는 반도체 소자 제조 방법
KR20040031618A (ko) 레지스트 매립 방법 및 반도체 장치의 제조 방법
KR100344826B1 (ko) 반도체 소자의 노드 콘택 형성방법
KR20060118734A (ko) 플래시 메모리 소자의 제조 방법
KR20080060575A (ko) 반도체 소자의 트렌치 형성방법
KR20010076684A (ko) 반도체 소자의 제조방법
KR20080060549A (ko) 반도체 소자의 트렌치 형성 방법
KR20050073363A (ko) 반도체 소자의 콘택홀 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080304

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110405

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110607

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110706

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140715

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees