JP2008270522A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2008270522A
JP2008270522A JP2007111524A JP2007111524A JP2008270522A JP 2008270522 A JP2008270522 A JP 2008270522A JP 2007111524 A JP2007111524 A JP 2007111524A JP 2007111524 A JP2007111524 A JP 2007111524A JP 2008270522 A JP2008270522 A JP 2008270522A
Authority
JP
Japan
Prior art keywords
film
wiring
etching
mask
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007111524A
Other languages
English (en)
Inventor
Sadaji Tamaki
貞治 玉木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Miyagi Oki Electric Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Miyagi Oki Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd, Miyagi Oki Electric Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2007111524A priority Critical patent/JP2008270522A/ja
Priority to US12/078,086 priority patent/US7704891B2/en
Priority to KR1020080030319A priority patent/KR20080094560A/ko
Priority to CNA2008100891439A priority patent/CN101290906A/zh
Publication of JP2008270522A publication Critical patent/JP2008270522A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】タングステン配線の断面形状を良好にすることにより、配線抵抗の増大及び配線信頼性の低下を防ぐ。
【解決手段】先ず、下地100を用意して、下地上に、バリア膜120、配線膜130及びマスク膜140を順次に積層する。バリア膜及びマスク膜が窒化チタンであり、配線膜がタングステンである。次に、マスク膜上に、反射防止膜150を塗布する。次に、反射防止膜上に、レジスト膜を形成した後、フォトリソグラフィによりパターニングして、レジストマスク160を形成する。レジストマスクは、配線形成領域105を覆い、かつ配線非形成領域107を露出する。次に、フッ素系ガスを用いたエッチングにより反射防止膜のパターニングを行う。次に、塩素系ガスを用いたエッチングによりマスク膜のパターニングを行う。次に、フッ素系ガスを用いたエッチングにより配線膜のパターニングを行う。
【選択図】図2

Description

この発明は、半導体装置の製造方法、特に高融点金属を含む配線の形成方法に関するものである。
タングステンなどの高融点金属を含む配線は、アルミニウム合金を含む配線と比較して、エレクトロマイグレーションによる寿命が長い、低コストであるなどの利点を有している。このため、半導体装置に形成される配線として、高融点金属を含む配線が注目されている。
図11〜13を参照して、従来の半導体装置の製造方法について説明する(特許文献1参照)。図11〜13は、従来の半導体装置の製造方法を説明するための工程図であって、各工程で形成された、主要部の切断端面を示している。
先ず、下地100を用意する。ここで、下地100は、トランジスタ等の素子が形成された半導体基板と、その上に層間絶縁膜を備えている。また、層間絶縁膜には、半導体基板に形成された素子と、後の工程で形成される配線とを電気的に接続するための導電プラグが形成されている。
次に、下地100上に、スパッタ法により窒化チタン膜を形成する。以下、この窒化チタン膜をバリア膜120と称する。
次に、バリア膜120上に、CVD法によりタングステンを堆積して配線膜130を形成する。さらに、配線膜130上に、CVD法によりシリコン窒化膜145を形成する(図11(A))。
次に、シリコン窒化膜145上に、レジストを塗布することによりレジスト膜を形成した後、フォトリソグラフィによりパターニングして、レジストマスク160を形成する。レジストマスク160は、配線形成領域105を覆い、配線非形成領域107を露出している(図11(B))。
次に、レジストマスク160を用いた反応性イオンエッチング(RIE)により、シリコン窒化膜145及び配線膜130をエッチングする。エッチングガスとして、例えばSFガスを用いる(図11(C))。タングステンのレジストに対するエッチング選択比は2程度であるので、配線膜130としてタングステン膜を形成した場合には、タングステンのエッチングとともにレジストマスク160の垂直方向へのエッチングがなされる。また、後述するようにレジストマスク160は、プラズマ耐性が悪いので、水平方向にもエッチングされる。図11(C)では、レジストマスク及びシリコン窒化膜のそれぞれのエッチング残部をそれぞれ符号162及び147で示してある。
SFを用いたエッチングでは、タングステンの窒化チタンに対するエッチング選択比が大きい。このため、配線膜130のエッチングは、配線非形成領域107の配線膜130が除去され、バリア膜120が露出した時点で止まる。すなわち、バリア膜120は、エッチストップとして機能する(図12(A))。以下、このRIEにより残存する配線膜130の部分をタングステン配線136と称する。なお、以下の説明では、タングステン配線136を単に、配線と称することもある。また、ここでは、レジストマスク及びシリコン窒化膜のそれぞれのエッチング残部をそれぞれ符号164及び148で示してある。
次に、エッチングガスとしてClガスを用いたRIEにより、配線非形成領域107のバリア膜120の部分を除去して、配線形成領域105にバリア膜のエッチング残部122を残存させる。Clガスを用いたエッチングでは、窒化チタンのタングステンに対するエッチング選択比が大きい。従って、バリア膜120のエッチングの際に、タングステンの配線136はほとんどエッチングされない(図12(B))。
次に、下地100上に、CVD法によりNSG(Non−doped Silicate Glass)を堆積して、配線136を埋め込む層間絶縁膜170を形成する(図12(C))。
次に、フォトリソグラフィ及びドライエッチングにより、配線136上の層間絶縁膜170にスルーホール171を開口する(図13(A))。
次に、CVD法又はスパッタ法により窒化チタン膜182及びメタル膜184を順に形成して、スルーホール171を埋め込む。このスルーホール171を埋め込んだ窒化チタン膜182及びメタル膜184を上層メタル配線180と称する。この上層メタル配線180と、下層配線であるタングステン配線136とが電気的に接続される(図13(B))。
特開平6−275625号公報
しかしながら、上述の従来例の配線の形成方法では、タングステンの下層配線の断面形状が、下地側(下側)であるボトム136aの幅が、レジストマスク側(上側)であるトップ136bの幅より広い、いわゆる順テーパ状になる場合がある。これは、次の理由による。
配線136の幅が150nm以下であるような微細配線を形成する場合、KrFエキシマレーザ又はArFエキシマレーザがステッパとして用いられる。ここで用いられるKrF系レジスト又はArF系レジストは、プラズマ耐性が悪い。従って、RIEの際に、レジストマスクは、垂直方向(図11(C)中、矢印Gで示す。)だけでなく、水平方向(図11(C)中、矢印Hで示す。)にもエッチングされる。このため、タングステンの配線膜130のエッチングの際に、レジストマスク160の水平方向の幅が減少してしまう。従って、タングステンの配線膜130のエッチングが進むにつれて、レジストマスク160の幅が減少し、この結果、図12(B)に示すように、タングステン配線136の断面形状は、順テーパ状になり、下地100の上面102に対する配線136の側面138の角度βは、80度程度になる。
タングステン配線136の断面形状が、順テーパ状になると、配線136のレジストマスク側のトップ136bの幅が狭くなった分だけ、タングステン配線136の断面積が減少する。この断面積の減少によりタングステン配線136の配線抵抗が増加して、デバイスの性能劣化を引き起こす場合がある。
また、タングステン配線136の断面形状が、順テーパ状になると、スルーホール171の形成時にタングステン配線136の側面138に沿って、エッチングが進行し、この結果、タングステン配線136の側面138に、隙間(図13(A)中、Xで示す。)が生じる。この隙間Xは、上層の窒化チタン膜182の形成の際に埋め込まれずに、空洞(図13(B)中、Yで示す。)として残存する場合がある。この場合、空洞Y内に残留したガス、薬品等が配線136の劣化を引き起こす恐れがある。
さらに、タングステン配線136の断面形状が、順テーパ状になると、上層の窒化チタン膜182との接触面積が減少するので、スルーホール171内での抵抗が増大して、デバイスの性能劣化を引き起こす場合がある。
そこで、この出願に係る発明者が鋭意研究を行ったところ、タングステンの配線膜上に、窒化チタン膜を形成することにより、レジストマスクの幅が減少した場合であっても、良好な断面形状が得られることを見出した。
この発明は、上述の問題点に鑑みてなされたものであり、この発明の目的は、タングステン配線の断面形状を良好にすることにより、配線抵抗の増大及び配線信頼性の低下を防ぐ半導体装置の製造方法を提供することにある。
上述した目的を達成するために、この発明の半導体装置の製造方法は、以下の工程を備えている。
先ず、下地を用意する。次に、下地上に、バリア膜、配線膜及びマスク膜が順次に積層された多層膜を形成する。この多層膜のバリア層及びマスク膜が窒化チタン膜であり、配線膜がタングステン膜である。
次に、マスク膜上に、レジストマスクを形成する。レジストマスクは、配線形成領域を覆い、かつ配線非形成領域を露出する。
次に、タングステンに対する窒化チタンのエッチング選択比が大きい第1のガスを用いたエッチングによりマスク膜のパターニングを行う。このパターニングでは、配線非形成領域の、マスク膜の部分を除去して、配線形成領域にマスク膜のエッチング残部を残存させる。
次に、窒化チタンに対するタングステンのエッチング選択比が大きい第2のガスを用いたエッチングにより配線膜のパターニングを行う。このパターニングでは、配線非形成領域の、配線膜の部分を除去して、配線形成領域に配線膜のエッチング残部を残存させて配線を形成する。
この発明の半導体装置の製造方法によれば、配線膜としてのタングステン膜上に、マスク膜として窒化チタン膜を形成している。タングステン膜のエッチングは、窒化チタンに対するタングステンの選択比が大きいガスを用いて行われる。このため、タングステン膜のエッチングの際に、レジストマスクの幅が減少したり、レジストマスクが消失したりした場合であっても、窒化チタン膜が、エッチングマスクとして機能する。
この結果、タングステン配線の断面形状が良好になり、配線抵抗の増大を防ぐとともに、配線信頼性の低下を防ぐことができる。
以下、図を参照して、この発明の実施の形態について説明するが、各構成要素の形状、大きさ及び配置関係については、この発明が理解できる程度に概略的に示したものに過ぎない。また、以下、この発明の好適な構成例につき説明するが、各構成の組成(材質)及び数値的条件などは、単なる好適例にすぎない。従って、この発明は以下の実施の形態に限定されるものではなく、この発明の構成の範囲を逸脱せずにこの発明の効果を達成できる多くの変更又は変形を行うことができる。
図1〜4を参照して、この発明の半導体装置の製造方法について説明する。図1〜4は、半導体装置の製造方法を説明するための工程図であって、各工程で形成された、主要部の切断端面を示している。
先ず、下地100を用意する。下地100は、例えば、トランジスタ等の素子が形成された半導体基板20と、その上に層間絶縁膜70とを備えて構成されている。ここでは、素子の図示を省略している。
層間絶縁膜70には、半導体基板20に形成された素子と、後の工程で形成される配線とを電気的に接続するための導電プラグ72が形成されている。導電プラグ72は、層間絶縁膜70に開口されたスルーホール71を、例えばタングステン等で埋め込んで形成されている(図1(A))。
なお、下地は、多層配線構造を備えていても良い。多層配線構造を備える下地の例として、2層の配線構造を備えている下地について説明する。
下地100aは、半導体基板20上に順に積層された、第1の層間絶縁膜70a、第2の層間絶縁膜70b及び第3の層間絶縁膜70cを備えて構成される。半導体基板20には、図1(A)を参照して説明したのと同様に、素子(図示を省略する。)が形成されている。
第1の層間絶縁膜70a上には、第1の配線パターン74aが形成され、第2の層間絶縁膜70b上には、第2の配線パターン74bが形成されている。第1の層間絶縁膜70aには、半導体基板20に形成された素子と第1の配線パターン74aの間を電気的に接続するための第1の導電プラグ72aが形成されている。第1の導電プラグ72aは、第1の層間絶縁膜70aに開口されたスルーホール71aを、例えばタングステン等で埋め込んで形成されている。
また、第2の層間絶縁膜70bには、第1の配線パターン74aと第2の配線パターン74bを電気的に接続するための第2の導電プラグ72bが形成されている。第2の導電プラグ72bは、第2の層間絶縁膜70bに開口されたスルーホール71bを、例えばタングステン等で埋め込んで形成されている。
第3の層間絶縁膜70cには、例えば第2の配線パターン74bと後の工程で形成される配線とを電気的に接続するための導電プラグ72cが形成されている。第3の導電プラグ72cは、第3の層間絶縁膜70cに開口されたスルーホール71cを、例えばタングステン等で埋め込んで形成されている(図1(B))。
次に、用意した下地100上に、バリア膜120、配線膜130及びマスク膜140を順次に積層して、これら各膜120、130及び140からなる多層膜110を形成する。
バリア膜120は、例えば従来周知のスパッタ法により堆積される窒化チタン膜とすることができる。バリア膜120は、例えば50nm程度の厚みで形成される。
配線膜130は、例えば従来周知のCVD法により堆積されるタングステン膜とすることができる。配線膜130は、例えば300〜400nmの厚みで形成される。
マスク膜140は、例えば従来周知のスパッタ法により堆積される窒化チタン膜とすることができる。マスク膜140は、例えば50nm程度の厚みで形成される(図2(A))。
次に、マスク膜140上に、反射防止膜150を塗布する。反射防止膜150は、従来周知の有機樹脂材料を用いて形成することができる。反射防止膜150は、フォトリソグラフィにおける露光波長の光を吸収する染料を含有している。
次に、反射防止膜150上に、レジストを塗布することによりレジスト膜を形成した後、フォトリソグラフィによりパターニングして、レジストマスク160を形成する。このレジストマスク160は、配線が形成される配線形成領域105を覆い、かつ配線が形成されない配線非形成領域107を露出する。従って、この場合には、反射防止膜150の部分151がレジストマスク160から露出する。なお、これら配線形成領域105及び配線非形成領域107は、設計に応じた任意好適な領域としてレジストの露光時に設定される。
ここで、形成される配線の幅が150nm以下であるような微細配線構造の半導体装置を製造する場合には、KrFエキシマレーザ又はArFエキシマレーザがステッパとして用いられる。この場合、レジストとして、従来周知のKrF系レジスト又はArF系レジストが用いられる。
なお、寸法変換差等を考慮すると、レジストマスク160の厚みは最大でも300nm程度にするのが良い(図2(B))。
次に、レジストマスク160を用いたエッチングにより、反射防止膜150をパターニングする。この反射防止膜150のパターニングでは、配線非形成領域107の、反射防止膜150の露出部分151を除去して、配線形成領域105に反射防止膜150のエッチング残部152を残存させる。このエッチングにより、配線非形成領域107の、マスク膜140の部分141が露出する。なお、以下の説明において、反射防止膜150のエッチング残部152を単に反射防止膜と称することもある。
この反射防止膜150のエッチングは、エッチングガスとして、主としてCHFを含むフッ素系ガスを用いた反応性イオンエッチング(RIE)で行われる。このCHFを含むフッ素系ガスは、例えば、CF、CHF、Cl及びArの混合ガスであって、それぞれ20、130、50及び200sccm(standard cubic cm per minute)の流量で供給される。
次に、レジストマスク160及び反射防止膜152をマスクとして用いたエッチングによって、マスク膜140のパターニングを行う。このパターニングでは、配線非形成領域107のマスク膜140の露出部分141を除去して、配線形成領域105にマスク膜140のエッチング残部142を残存させる。このエッチングにより、配線非形成領域107の、配線膜130の部分131が露出する。なお、以下の説明において、マスク膜140のエッチング残部142を単にマスク膜と称することもある。
このマスク膜140のパターニングは、タングステンに対する窒化チタンのエッチング選択比が大きい第1のガス、例えばClを含む塩素系ガスをエッチングガスとして用いたRIEで行われる。ここでは、例えばClガスを200sccmの流量で供給する。
ここで、KrF系レジスト又はArF系レジストは、プラズマ耐性が悪いため、垂直方向(図2(C)中、矢印Aで示す。)だけでなく、水平方向(図2(C)中、矢印Bで示す。)にもエッチングされる。しかし、反射防止膜152及びマスク膜142のエッチングは、短時間であるため、レジストマスクの水平方向のエッチングは低いレベルに抑えられる。ここでは、レジストマスク160のエッチング残部を符号162で示している(図2(C))。なお、以下の説明においてレジストマスク160のエッチング残部162を、単にレジストマスクと称することもある。
次に、レジストマスク162、反射防止膜152及びマスク膜142をマスクとして用いたエッチングにより、配線膜130のパターニングを行う。このパターニングでは、配線非形成領域107の配線膜130の露出部分131を除去して、配線形成領域105に配線膜130のエッチング残部132を残存させてタングステン配線(単に、配線と称することもある。)132を形成する。このエッチングにより、配線非形成領域107の、バリア膜120の部分121が露出する。
この配線膜130のパターニングは、窒化チタンに対するタングステンのエッチング選択比が大きい第2のガス、例えば主としてSFを含むフッ素系ガスをエッチングガスとして用いたRIEで行われる。このSFを含むフッ素系ガスは、例えばCHF、SF、Cl及びArの混合ガスであって、それぞれ20、180、80及び120sccmの流量で供給される。なお、レジストマスクのエッチング残部162に覆われていない配線非形成領域107のタングステン(図2(C)中、符号131で示した部分)を完全に除去するためにオーバーエッチングを行う。
このときの、タングステンのレジストに対するエッチング選択比は2程度であるので、配線膜130としてタングステン膜を形成した場合には、タングステンのエッチングとともにレジストマスク162の垂直方向へのエッチングがなされる。また、KrF系レジスト又はArF系レジストで形成されたレジストマスク162は、プラズマ耐性が悪いので、水平方向にもエッチングされる。このため、タングステンの配線膜130のエッチングの結果、レジストマスク162及び反射防止膜152についても、水平方向及び垂直方向にエッチングが進行し、レジストマスク162及び反射防止膜152は、断面形状が三角形状にわずかに残存するか、または完全に消失する。図3(A)に、レジストマスク及び反射防止膜のエッチング残部をそれぞれ符号163及び153で示してある。なお、以下の説明においては、レジストマスク及び反射防止膜のエッチング残部をそれぞれ、単にレジストマスク及び反射防止膜と称することもある。
なお、第2のガスは、窒化チタンに対するタングステンのエッチング選択比が大きいため、マスク膜142はエッチングされない。このため、レジストマスクや反射防止膜が消失した場合であっても、マスクとして用いられるマスク膜のエッチング残部142によって、タングステンの配線132の断面形状は良好な状態に維持される。この結果、配線132の側面134の、下地100の上面102に対する角度αが、85度以上となり、タングステン配線132の断面形状は、順テーパ状にはならずに、良好な形状、すなわち、ほぼ長方形状になる(図3(A))。
次に、配線132上の、マスク膜のエッチング残部142及び配線非形成領域107の、バリア膜120の露出部分121をエッチングにより除去する。このとき、配線形成領域105に、バリア膜120のエッチング残部122が残存する。このエッチングは、マスク膜140のパターニングと同様にエッチングガスとして、Clを含む塩素系ガスを用いたRIEで行われる。このとき、窒化チタンのタングステンに対するエッチング選択比は大きいので、形成された配線132はほとんどエッチングされない。
なお、マスク膜のエッチング残部142上にレジストマスク163及び反射防止膜153が残存している場合は、バリア膜120のエッチング前に、アッシング等により除去すれば良い(図3(B))。
次に、下地100上に層間絶縁膜170を形成して、配線132を埋め込む。層間絶縁膜170は、例えばCVD法によりNSG膜を堆積することで形成される(図3(C))。
次に、任意好適な従来周知のフォトリソグラフィ及びドライエッチングにより、配線132上の層間絶縁膜170にスルーホール171を開口する。この配線構造の形成方法では、配線の断面形状がほぼ長方形状である。このため、スルーホール171のエッチングが配線132の側面134に沿っては進まない。従って、スルーホール171のエッチングの際に配線132の側面134に隙間が生じる恐れがなくなる(図4(A))。
次に、CVD法又はスパッタ法により窒化チタン(TiN)膜182及びメタル膜184を順に形成して、これら導電体でスルーホール171を埋め込む。その後、これら導電体の層間絶縁膜170上の部分をパターニングして上層配線を得る。ここでは、窒化チタン膜182及びメタル膜184のスルーホール171に埋め込まれた部分と層間絶縁膜170上の上層配線とを合わせて上層メタル配線180と称する。この上層メタル配線180と、下層配線である、タングステンの配線132とが電気的に接続される(図4(B))。
ここで、スルーホール171のエッチングの際に、配線132の側面134に隙間が生成されないので、従来の製造方法では、生じる恐れのあった空洞が形成されない。このため、空洞が形成された場合に生じる、空洞内に残留したガス、薬品等による配線の劣化を防ぐことができる。
また、タングステン配線132の断面形状が、長方形状であるため、タングステン配線132の断面積が減少することがない。この結果、タングステン配線132の配線抵抗の増加を防ぐことができるとともに、タングステン配線132と上層メタル配線180との接触面積も減少しないので、スルーホールでの抵抗が増大するのを防ぐことができる。
さらに、レジストマスクは、マスク膜のエッチングが完了するまで存在していれば良いので、レジストマスクの膜厚を、最小限にすることができる。この結果、寸法バラツキの低減、コスト削減などが実現される。
また、レジストマスクを薄くした場合であっても、タングステン膜を薄くする必要がないので、配線膜を薄くすることによって生じる配線抵抗の増大を抑えることができる。
さらに、一般にタングステンとシリコン窒化膜とは、密着性が悪いため、成膜、エッチング、及び洗浄のときなどに剥離することが想定される。これに対し、タングステンと窒化チタン膜とは密着性が良好である。このため、マスクとして機能するマスク膜は、配線のエッチングによる形成の際には、良好な形状で残存する。また、シリコン窒化膜がタングステン上に残存していると、上層配線とのコンタクト不良の原因となるが、窒化チタン膜は導電性を有するため、タングステン上に残存していても、コンタクト不良は起こさない。
エッチング装置として、例えば日立製ECRエッチング装置(型番:M632A)を用いる場合、バイアス電力を高くするとレジストマスクが消失してしまうことから、一般に、20W程度のバイアス電力を印加して行う。
これに対し、本発明では、反射防止膜のエッチングの際に除去されるレジストマスクの部分が大きくなっても、後の配線膜のエッチングでは、マスク膜がエッチングマスクとして機能する。このため、バイアス電力を従来よりも高くすることができる。
バイアス電力を高くするとエッチングの異方性が強まる。すなわち、エッチングの垂直成分に対するエッチングの水平成分の割合が減る。
図5を参照して、反射防止膜のエッチング時にバイアス電力を高くした場合について説明する。図5は、半導体装置の製造方法について説明するための工程図であって、主要断面を示している。なお、反射防止膜をエッチングする工程を除いては、図1〜図2(B)と図3(A)〜図4(B)を参照して説明したのと同様である。反射防止膜のエッチング時に、バイアス電力を高めると、垂直方向(図中矢印Cで示す。)のエッチング成分に対する、水平方向(図中矢印Dで示す。)のエッチング成分の寄与が低減される。
図6及び図7を参照して、バイアス電力と、仕上がり寸法の一般的な関係について説明する。図6は、従来周知の走査型電子顕微鏡を備える測長装置を用いた画像である。ここでは、加速電圧800Vで取得した表面画像である。この画像は、上述した各パターニング工程後にアッシング及び洗浄を終えた段階の画像である。図6(A)、(B)、(C)及び(D)は、それぞれバイアス電力が20W、30W、40W及び50Wの画像を示している。
図7は、バイアス電力と仕上り寸法の関係を示す特性図である。ここで、仕上がり寸法は、図6と同じく、パターニング工程後にアッシング及び洗浄を終えた段階で取得したデータである。図7では、横軸にバイアス電力(単位:W)をとって示し、縦軸に、パターニング後の仕上がり寸法(単位:nm)として配線の幅を取って示している。曲線Iは、ボトム132aの配線幅(ボトム寸法)であり、曲線IIは、トップ132bの配線幅(トップ寸法)である。
ここで、バイアス電力が大きくなるに従って、トップ寸法及びボトム寸法はいずれも大きくなっている。トップ寸法に関してみれば、RF出力が20Wのときに50nm程度の幅であるのに対し、30Wでは75nm程度まで上昇し、40〜50Wでは85nm程度まで増大する。一方、ボトム寸法に関してみれば、RF出力が20Wのときに110nm程度の幅であるのに対し、30Wでは130nm程度まで上昇し、40〜50Wでは140nm程度までさらに増大する。
従って、反射防止膜のエッチングの際のバイアス電力は、従来の20Wよりも大きくするのが良い。ただし、バイアス電力を50Wよりも大きくすると、反射防止膜のエッチングのときに、レジストが全て消失してしまうなどの恐れがある。このため、反射防止膜のエッチングの際のバイアス電力は、30〜50W程度にするのが好適である。
次に、図8を参照して、反射防止膜のエッチング時にCHFの流量を大きくした場合について説明する。図8は、半導体装置の製造方法について説明するための工程図であって、主要断面を示している。なお、反射防止膜をエッチングする工程を除いては、図1〜図2(B)と図3(A)〜図4(B)を参照して説明したのと同様である。
反射防止膜のエッチング時に、CHFの流量を大きくすると、反射防止膜又はレジストマスク中の炭素と、CHFとが反応して、レジストマスクの側面に側壁保護膜が形成される。このため、CFに対するCHFの流量を大きくすれば、レジストマスクの側面に側壁保護膜165が形成され、エッチング中のレジストマスクの水平方向(図8中矢印Fで示す。)のエッチングの影響を低減することが可能となる。
図9及び図10を参照して、CHFの流量と、エッチング後の寸法の関係について説明する。図9は、上述した図6と同様の測定条件で取得した表面画像である。ここでは、CFとCHFの流量の総和を150sccmとして、この総和の条件を満たすように、CFとCHFの流量を変化させて、それぞれ表面画像を取得するとともに、配線寸法を測定する。ここでは、バイアス電力を30Wとしている。
図9(A)、(B)、(C)、(D)及び(E)は、CHFとCFの流量CHF/CFを、それぞれ25/125(17%)、50/100(33%)、75/75(50%)、100/50(67%)及び125/25(83%)としている。ここで、カッコ内には、CHFとCFの流量の総和に対するCHFの流量の割合を示している。
図10は、CHFの流量と、エッチング後の配線寸法の一般的な関係を示す特性図である。ここで、CFに対するCHFの比率を大きくすると、仕上がり寸法が大きくなる。
そこで、CHFの流量を、少なくとも125sccmとし、CFの流量を25sccmとするのが良い。なお、CFをフッ素系ガスに含まずに、CHFを150sccmとしても良い。従って、CFに対するCHFの流量は少なくとも6倍以上にするのが好適である。
ここでは、下地として、導電プラグが形成されたものを用いる例について説明したが、この例に限定されない。例えば、下地として、層間絶縁膜にスルーホールを開口したものを用意しても良い。この場合、バリア膜としてTiN膜を層間絶縁膜上及びスルーホールの内壁上に形成した後、タングステンを層間絶縁膜上に形成すると同時に、スルーホール内に埋め込めばよい。
半導体装置の製造方法を説明するための工程図(その1)である。 半導体装置の製造方法を説明するための工程図(その2)である。 半導体装置の製造方法を説明するための工程図(その3)である。 半導体装置の製造方法を説明するための工程図(その4)である。 半導体装置の製造方法を説明するための工程図(その5)である。 配線形成後の表面画像(その1)である。 バイアス電力と仕上がり寸法との関係を示す特性図である。 半導体装置の製造方法を説明するための工程図(その6)である。 配線形成後の表面画像(その2)である。 CHFガスの流量と仕上がり寸法との関係を示す特性図である。 従来の半導体装置の製造方法を説明するための工程図(その1)である。 従来の半導体装置の製造方法を説明するための工程図(その2)である。 従来の半導体装置の製造方法を説明するための工程図(その3)である。
符号の説明
20 半導体基板
70、70a、70b、70c 層間絶縁膜
71、71a、71b、71c スルーホール
72、72a、72b、72c 導電プラグ
74a、74b 配線パターン
100、100a 下地
105 配線形成領域
107 配線非形成領域
110 多層膜
120 バリア膜
121、131、141、151 露出部分
122、142、152、162 エッチング残部
130 配線膜
132 配線(エッチング残部)
140 マスク膜
150 反射防止膜
160 レジストマスク
170 層間絶縁膜
171 スルーホール
180 上層メタル配線
182 窒化チタン(TiN)膜
184 メタル膜

Claims (15)

  1. 下地を用意する工程と、
    前記下地上に、バリア膜、配線膜及びマスク膜が順次に積層された多層膜であって、前記バリア膜及びマスク膜が窒化チタン膜であり、前記配線膜がタングステン膜である当該多層膜を形成する工程と、
    前記マスク膜上に、配線形成領域を覆い、かつ配線非形成領域を露出するレジストマスクを形成する工程と、
    タングステンに対する窒化チタンのエッチング選択比が大きい第1のガスを用いたエッチングにより前記マスク膜のパターニングを行って、前記配線非形成領域の、前記マスク膜の部分を除去して、前記配線形成領域に前記マスク膜のエッチング残部を残存させる工程と、
    窒化チタンに対するタングステンのエッチング選択比が大きい第2のガスを用いたエッチングにより前記配線膜のパターニングを行って、前記配線非形成領域の、前記配線膜の部分を除去して、前記配線形成領域に前記配線膜のエッチング残部を残存させて配線を形成する工程と
    を備えることを特徴とする半導体装置の製造方法。
  2. 前記第1のガスとして、塩素系ガスを用い、
    前記第2のガスとして、フッ素系ガスを用いる
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記第1のガスとして、Clを含む塩素系ガスを用い、
    前記第2のガスとして、SFを含むフッ素系ガスを用いる
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
  4. 前記配線を形成した後、
    前記レジストマスクのエッチング残部を除去し、さらに前記配線上の前記マスク膜のエッチング残部及び前記配線非形成領域のバリア膜の部分をエッチングにより除去する工程
    を行うことを特徴とする請求項1〜3のいずれか一項に記載の半導体装置の製造方法。
  5. 前記マスク膜のエッチング残部及び前記配線非形成領域のバリア膜の部分のエッチングを、前記第1のガスを用いて行うことを特徴とする請求項4に記載の半導体装置の製造方法。
  6. 前記レジストマスクのエッチング残部、前記配線上の前記マスク膜のエッチング残部及び前記配線非形成領域のバリア膜の部分を除去した後、
    前記下地上に、前記配線を埋め込む層間絶縁膜を形成する工程と、
    前記層間絶縁膜に前記配線を露出するスルーホールを形成する工程と、
    前記スルーホールを導電体で埋め込むとともに、前記層間絶縁膜上に上層配線を形成する工程と
    を行うことを特徴とする請求項4又は5に記載の半導体装置の製造方法。
  7. 前記マスク膜上に、レジストマスクを形成する工程に替えて、
    前記マスク膜上に、反射防止膜を塗布する工程と、
    該反射防止膜上に、レジストを塗布することによりレジスト膜を形成した後、フォトリソグラフィによりパターニングして、配線形成領域を覆い、かつ配線非形成領域を露出するレジストマスクを形成する工程と、
    フッ素系ガスを用いたエッチングにより前記反射防止膜のパターニングを行って、前記配線非形成領域の、前記反射防止膜の部分を除去して、前記配線形成領域に前記反射防止膜のエッチング残部を残存させる工程と
    を行うことを特徴とする請求項1〜3のいずれか一項に記載の半導体装置の製造方法。
  8. 前記反射防止膜をパターニングするにあたり、CHFを含むフッ素系ガスを用いることを特徴とする請求項7に記載の半導体装置の製造方法。
  9. 前記反射防止膜をパターニングするにあたり、バイアス電力を30〜50Wとして反応性イオンエッチングを行うことを特徴とする請求項7又は8に記載の半導体装置の製造方法。
  10. 前記反射防止膜をパターニングするにあたり、
    CHFを含むフッ素系ガスとして、さらにCFを含むガスを用い、及び
    前記CHFの流量を、前記CFの流量の少なくとも6倍にする
    ことを特徴とする請求項7〜9のいずれか一項に記載の半導体装置の製造方法。
  11. 前記配線を形成した後、
    前記レジストマスク及び反射防止膜のエッチング残部を除去し、さらに前記配線上の前記マスク膜のエッチング残部及び前記配線非形成領域のバリア膜の部分をエッチングにより除去する工程
    を行うことを特徴とする請求項7〜10のいずれか一項に記載の半導体装置の製造方法。
  12. 前記マスク膜のエッチング残部及び前記配線非形成領域のバリア膜の部分のエッチングを、前記第1のガスを用いて行うことを特徴とする請求項11に記載の半導体装置の製造方法。
  13. 前記レジストマスク及び反射防止膜のエッチング残部、前記配線上の前記マスク膜のエッチング残部及び前記配線非形成領域のバリア膜の部分を除去した後、
    前記下地上に、前記配線を埋め込む層間絶縁膜を形成する工程と、
    前記層間絶縁膜に前記配線を露出するスルーホールを形成する工程と、
    前記スルーホールを導電体で埋め込むとともに、前記層間絶縁膜上に上層配線を形成する工程と
    を行うことを特徴とする請求項11又は12に記載の半導体装置の製造方法。
  14. 前記配線の幅を最大でも150nmとする
    ことを特徴とする請求項1〜13のいずれか一項に記載の半導体装置の製造方法。
  15. 前記配線膜の厚みを300〜400nmとする
    ことを特徴とする請求項1〜14のいずれか一項に記載の半導体装置の製造方法。
JP2007111524A 2007-04-20 2007-04-20 半導体装置の製造方法 Pending JP2008270522A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2007111524A JP2008270522A (ja) 2007-04-20 2007-04-20 半導体装置の製造方法
US12/078,086 US7704891B2 (en) 2007-04-20 2008-03-27 Method of producing semiconductor device
KR1020080030319A KR20080094560A (ko) 2007-04-20 2008-04-01 반도체 장치의 제조 방법
CNA2008100891439A CN101290906A (zh) 2007-04-20 2008-04-01 半导体器件的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007111524A JP2008270522A (ja) 2007-04-20 2007-04-20 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2008270522A true JP2008270522A (ja) 2008-11-06

Family

ID=39872636

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007111524A Pending JP2008270522A (ja) 2007-04-20 2007-04-20 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US7704891B2 (ja)
JP (1) JP2008270522A (ja)
KR (1) KR20080094560A (ja)
CN (1) CN101290906A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011228424A (ja) * 2010-04-19 2011-11-10 Oki Semiconductor Co Ltd 半導体装置の製造方法
JP7427155B2 (ja) 2019-08-23 2024-02-05 東京エレクトロン株式会社 別の金属及び誘電体に対してチューニング可能な選択性を有するチタン含有材料層の非プラズマエッチング

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08186120A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
JP2006032801A (ja) * 2004-07-20 2006-02-02 Nec Electronics Corp 半導体装置の製造方法
JP2006041364A (ja) * 2004-07-29 2006-02-09 Seiko Epson Corp 配線の形成方法及び、電子デバイスの製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275625A (ja) 1993-03-23 1994-09-30 Matsushita Electric Ind Co Ltd 高融点金属を含む配線及びその形成方法
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08186120A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
JP2006032801A (ja) * 2004-07-20 2006-02-02 Nec Electronics Corp 半導体装置の製造方法
JP2006041364A (ja) * 2004-07-29 2006-02-09 Seiko Epson Corp 配線の形成方法及び、電子デバイスの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011228424A (ja) * 2010-04-19 2011-11-10 Oki Semiconductor Co Ltd 半導体装置の製造方法
JP7427155B2 (ja) 2019-08-23 2024-02-05 東京エレクトロン株式会社 別の金属及び誘電体に対してチューニング可能な選択性を有するチタン含有材料層の非プラズマエッチング

Also Published As

Publication number Publication date
KR20080094560A (ko) 2008-10-23
US7704891B2 (en) 2010-04-27
US20080261391A1 (en) 2008-10-23
CN101290906A (zh) 2008-10-22

Similar Documents

Publication Publication Date Title
US6800550B2 (en) Method for forming t-shaped conductive wires of semiconductor device utilizing notching phenomenon
US7482279B2 (en) Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
JP2006005344A (ja) 半導体パターン形成方法
JP2006339584A (ja) 半導体装置およびその製造方法
JP2006179853A (ja) 半導体素子のキャパシタストレージノードの形成方法
KR100652791B1 (ko) 반도체소자 제조 방법
JP2006222208A (ja) 半導体装置の製造方法
JP2008270522A (ja) 半導体装置の製造方法
JP2006216964A (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
US6586324B2 (en) Method of forming interconnects
JPH07221110A (ja) 半導体装置の配線構造とその製造方法
JP2007027234A (ja) 半導体装置及びその製造方法
KR20030002119A (ko) 듀얼 다마신 공정에 의한 비아홀 형성 방법
KR100421280B1 (ko) 반도체 소자의 다층 금속 배선 형성 방법
KR100539446B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR20060023004A (ko) 반도체소자의 콘택 플러그 형성 방법
JP4768732B2 (ja) 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
KR20050116490A (ko) 반도체 소자의 콘택 플러그 형성 방법
KR100291189B1 (ko) 반도체 장치 제조 방법
KR100685137B1 (ko) 구리 금속 배선의 형성 방법 및 그에 의해 형성된 구리금속 배선을 포함하는 반도체 소자
JP2007115889A (ja) 半導体装置の製造方法
JP2009088013A (ja) 半導体装置の製造方法
KR20050116483A (ko) 반도체소자의 콘택홀 형성 방법
KR20060029007A (ko) 반도체 소자 제조 방법
KR20050049003A (ko) 이중 개구부를 갖는 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20081218

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090226

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120710

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121113