JP2005228973A - 溶射部材、電極、およびプラズマ処理装置 - Google Patents

溶射部材、電極、およびプラズマ処理装置 Download PDF

Info

Publication number
JP2005228973A
JP2005228973A JP2004037094A JP2004037094A JP2005228973A JP 2005228973 A JP2005228973 A JP 2005228973A JP 2004037094 A JP2004037094 A JP 2004037094A JP 2004037094 A JP2004037094 A JP 2004037094A JP 2005228973 A JP2005228973 A JP 2005228973A
Authority
JP
Japan
Prior art keywords
base material
electrode
plasma
metal
intermediate layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004037094A
Other languages
English (en)
Other versions
JP4364667B2 (ja
Inventor
Daisuke Hayashi
大輔 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004037094A priority Critical patent/JP4364667B2/ja
Priority to US11/055,058 priority patent/US7331307B2/en
Publication of JP2005228973A publication Critical patent/JP2005228973A/ja
Application granted granted Critical
Publication of JP4364667B2 publication Critical patent/JP4364667B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/321Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer with at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

【課題】母材と溶射被膜との間に金属製の中間層を設けた場合に、その中間層が高周波のパスとなることのない溶射部材、および電極、ならびにそのような電極を用いたプラズマ処理装置を提供すること。
【解決手段】母材31と、母材31の下面に設けられた絶縁性セラミックスからなる溶射被膜33と、母材31と溶射被膜33との間に設けられ、これらの間の結合力を高める金属製の中間層32とを有し、母材31は、導電性材料からなるベース部31aと、下面の一部を含むように設けられた誘電体部31bとを有し、中間層32は、互いに孤立した複数の島状部位32aからなる。
【選択図】図2

Description

本発明は、プラズマ処理装置のチャンバー内で用いられる溶射部材、プラズマを生成するための電極、およびそのような電極を用いたプラズマ処理装置に関する。
半導体および液晶デバイスなどの製造プロセスでは、プラズマを用いたプラズマ処理が多用されているが、このようなプラズマ処理においてプラズマ雰囲気に曝される電極等のチャンバー内部材としては、耐プラズマ性を持たせるために、母材表面にアルミナ(Al)やイットリア(Y)等の高耐食性の絶縁性セラミックスからなる溶射被膜を形成したものが提案されている(例えば、特許文献1参照)。
このようなアルミナやイットリア等の溶射被膜をセラミックスや石英等の非金属母材の表面に形成しようとする場合、金属母材の場合と同様にビーズブラストやケミカルブラスト等をその表面に施すだけで溶射すると、誘電体部材は溶射膜の硬化収縮に対して柔軟性が低いために、溶射被膜のアンカー効果が低く剥がれやすいという欠点がある。これを解消するために母材と溶射被膜との間にアルミニウム(Al)やニッケル(Ni)等の軟金属薄膜を中間層として溶射し、アンカーの仲立ちをさせる手法が知られている(例えば特許文献2参照)。
一方、プラズマ処理には、一対の電極を上下に相対向して配置してこれらの間に高周波電界を形成する平行平板型プラズマ処理装置が多用されている。このような装置により高密度プラズマを形成するためには、印加周波数を上昇させる必要があるが、印加周波数を上昇させると電極表面の電界分布が不均一になり、これにともなってプラズマ密度が不均一となってしまう。そこで、例えば上部電極の対向表面の中央部分にセラミックス等の誘電体部材を設けることにより電界分布を均一としてプラズマ密度を均一化する手法が提案されている(例えば、特許文献3)。
このように表面に誘電体部材を有する電極母材に溶射被膜を形成する場合に、上述のような中間層(ボンドコート層)を形成する場合には、ボンドコート層は金属であるため、ボンドコート層自身が高周波電力に対して導電層になってしまい、高周波電力を通りにくくする効果を持たせているはずの誘電体部材がその機能を発揮し得ないという問題がある。
特開平8−339895号公報(第3頁、第2図) 特開平9−69554号公報 特開2000−323456号公報
本発明はかかる事情に鑑みてなされたものであって、母材と溶射被膜との間に金属製の中間層を設けた場合に、その中間層が高周波のパスとなることのない溶射部材、および電極、ならびにそのような電極を用いたプラズマ処理装置を提供することを目的とする。
上記課題を解決するため、本発明の第1の観点では、少なくとも表面の一部が誘電体からなる母材と、前記母材の前記表面に形成された絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記溶射被膜形成部位が高周波プラズマ雰囲気に曝される溶射部材であって、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とする溶射部材を提供する。
本発明の第2の観点では、母材と、前記母材の表面に設けられた絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記母材は、導電性材料からなるベース部と、前記表面の一部を含むように設けられた誘電体部とを有し、前記溶射被膜側に高周波プラズマを形成するための電極であって、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とする電極を提供する。
本発明の第3の観点では、被処理体が収容され、減圧下に保持されるチャンバーと、前記チャンバー内に相対向するように設けられた第1および第2の電極と、減圧下に保持されたチャンバー内に処理ガスを導入する処理ガス導入手段と、前記第1および第2の電極間に高周波電界を形成して前記処理ガスのプラズマを生成するプラズマ生成手段とを具備し、このプラズマにより被処理基板に所定のプラズマ処理を施すプラズマ処理装置であって、前記第1および第2の電極の少なくとも一方が、母材と、前記母材の表面に設けられた絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記母材は、導電性材料からなるベース部と、前記表面の一部を含むように設けられた誘電体部とを有し、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とするプラズマ処理装置を提供する。
本発明によれば、母材と溶射被膜との間に設けられている金属製の中間層を互いに孤立した複数の島状部位からなるものとしたので、島状部位間で高周波電流を遮断することができ、溶射被膜側に高周波プラズマが作用した場合に高周波電力が中間層を流れることが防止される。したがって、導電性材料からなるベース部と、母材の表面の一部を含むように設けられた誘電体部とを有する母材の表面に金属製の中間層を介して絶縁性セラミックスからなる溶射被膜を形成した電極に適用した場合に、高周波電力が中間層を流れないので、誘電体部の機能を有効に発揮することができる。
本発明において、前記中間層の隣接する島状部位の間隔が、プラズマを生成する高周波電力のスキンデプスより小さいことが好ましい、これにより、中間層が確実に高周波電力を遮断することができる。
前記電極において、母材を構成する導電性材料からなるベース部は、金属であっても金属−セラミックス複合材料であってもよい。ベース部を金属−セラミックス複合材料で構成する場合には、金属よりも熱膨張係数が小さいので誘電体部との熱膨張差を小さくすることができ、これの間の機械的誤差を極めて小さくすることができる。また、このように両者の熱膨張差が小さいため溶射ひび等の溶射欠陥が生じ難い。さらに、このように熱膨張差による機械的誤差が小さいことから、ベース部と誘電体部とを金属ロウで接合することが可能となる。接合に金属ロウを用いることにより、ベース部と誘電体部との隙間を埋めることができ、導入ガスリークや隙間によって生じる熱抵抗を防止することができる。また、母材の全面に形成する溶射被膜に隙間が生じない。
母材のベース部を金属−セラミックス複合材料で構成する場合には、多孔質セラミックスに金属を含浸させたものが好適である。また、このようにベース部を金属−セラミックス複合材料で構成する場合に、ベース部と誘電体部とは、ベース部に含浸させた金属をそれらの間に充填させることにより接合するようにすることができる。これにより金属ロウでの接合により得られる効果の他、工程が簡略化されるとともに、金属ロウを用いる場合のように含浸金属として金属ロウよりも低融点のものを用いる等の制限がない。
また、上記電極として、前記母材、前記中間層、および前記溶射被膜を貫通する複数のガス吐出孔を有し、前記ガス吐出孔の周囲に誘電体からなるスリーブが設けられているものを用いることができる。これにより、ガス吐出孔の内壁が耐プラズマ性が低いものであってもスリーブにより保護される。
上記プラズマ処理装置として、前記第1の電極および第2の電極は上下に対向し、それぞれ上部電極および下部電極として機能し、前記第2の電極に被処理体が載置され、前記プラズマ生成手段は前記第2の電極に高周波電力を印加する高周波電源を有し、前記第1の電極が、上記本発明の構成を有しているものを適用することができる。
このようなプラズマ処理装置において、前記処理ガス導入手段は多数の孔からガスを吐出するシャワーヘッドを有し、前記第1の電極は、前記シャワーヘッドの下面のシャワー板として機能し、多数のガス吐出孔が形成されているものとすることができる。
本発明によれば、中間層を構成する互いに孤立した複数の島状部位間で高周波電流を遮断することができ、溶射被膜側に高周波プラズマが作用した場合に高周波電力が中間層を流れることが防止される。
以下、添付図面を参照して本発明の実施の形態について説明する。
図1は、本発明の一実施形態に係る電極を上部電極として適用したRIEプラズマエッチング装置を示す断面図である。このエッチング装置は、気密に構成され、小径の上部1aと大径の下部1bとからなる段つき円筒状をなし、壁部が例えばアルミニウム製のチャンバー(処理容器)1を有している。
このチャンバー1内には、被処理体としてSi等で構成された半導体ウエハWを水平に支持し、かつ下部電極として機能する支持テーブル2が設けられている。支持テーブル2は例えばアルミニウムで構成されており、絶縁板3を介して導体の支持台4に支持されている。また、支持テーブル2の上方の外周には、例えばSiで形成されたフォーカスリング5が設けられている。支持台4の下方の部分は、カバー6で覆われている。なお、上記支持台4の外側にはバッフル板7が設けられており、また支持台4はカバー6を介してチャンバー1と導通している。チャンバー1は接地されている。
チャンバー1の天壁部分はチャンバー1内に処理ガスを導入するためのシャワーヘッド8となっており、シャワーヘッド8の下面はシャワー板として機能する上部電極9で構成されている。この上部電極9は、下部電極として機能する支持テーブル2と平行に対向して設けられており、多数のガス吐出孔10が形成されている。すなわち、下部電極である支持テーブル2と上部電極9とは一対の平行平板電極を構成している。なお、上部電極9はチャンバー1を介して接地されている。シャワーヘッド8および上部電極9の詳細な構成については後述する。
チャンバー1の下部1bの底壁には、排気ポート11が形成されており、この排気ポート11には排気系12が接続されている。そして排気系12の真空ポンプを作動させることによりチャンバー1内を所定の真空度まで減圧することができるようになっている。一方、チャンバー1の上部1aの側壁には、半導体ウエハWの搬入出口13が設けられており、この搬入出口13はゲートバルブ14により開閉されるようになっている。
支持テーブル2には、第1の給電線15が接続されており、第1の給電線15には第1の整合器16およびプラズマ形成用の第1の高周波電源17が接続されている。そして、この第1の高周波電源17から所定の周波数、例えば100MHzの高周波電力が支持テーブル2に供給されるようになっている。
第1の給電線15からは第2の給電線18が分岐しており第2の給電線18には第2の整合器19および第2の高周波電源20が接続されている。第2の高周波電源20は第1の高周波電源17の周波数よりも低い所定の周波数、例えば3.2MHzの高周波電力を供給しプラズマ形成用の高周波電力に重畳されるようになっている。
支持テーブル2の表面上には半導体ウエハWを静電吸着して保持するための静電チャック21が設けられている。この静電チャック21は絶縁体21bの間に電極21aが介在されて構成されており、電極21aには直流電源22が接続されている。そして電極21aに直流電源22から電圧が印加されることにより、静電力例えばクーロン力によって半導体ウエハWが吸着される。
支持テーブル2の内部には、冷媒室23が設けられており、この冷媒室23には、冷媒が冷媒導入管23aを介して導入され冷媒排出管23bから排出されて循環し、その冷熱が支持テーブル2を介して半導体ウエハWに対して伝熱され、これにより半導体ウエハWの処理面が所望の温度に制御される。
また、チャンバー1が排気系12により排気されて真空に保持されていても、冷媒室23に循環される冷媒により半導体ウエハWを有効に冷却可能なように、冷却ガスが、ガス導入機構24によりそのガス供給ライン25を介して静電チャック21の表面とウエハWの裏面との間に導入される。このように冷却ガスを導入することにより、冷媒の冷熱が半導体ウエハWに有効に伝達され、半導体ウエハWの冷却効率を高くすることができる。
上記シャワーヘッド8は、上述のようにその下面に多数のガス吐出孔10を有するシャワー板として上部電極9が設けられており、かつその上部にガス導入部8aを有している。そして、その内部にはガスが拡散するための空間26が形成されている。ガス導入部8aにはガス供給配管27が接続されており、このガス供給配管27の他端には、処理ガスを供給する処理ガス供給系28が接続されている。
処理ガスとしてはフッ素(F)や塩素(Cl)等のハロゲン元素を含有する腐食性の高いものが用いられ、このような処理ガスが、処理ガス供給系28からガス供給配管27、ガス導入部8aを介してシャワーヘッド8の空間26に至り、ガス吐出孔10から吐出される。
一方、チャンバー1の上部1aの周囲には、搬入出口13を挟んで2つのマルチポールリング磁石29a,29bが配置されている。マルチポールリング磁石29a,29bは、複数の異方性セグメント柱状磁石がリング状の磁性体のケーシングに取り付けられて構成されており、隣接する複数のセグメント柱状磁石同士の磁極の向きが互いに逆向きになるように配置されている。これにより、磁力線が隣接するセグメント磁石間に形成され、上下電極の間の処理空間の周辺部のみに磁場が形成され、処理空間へプラズマを閉じこめる作用を有する。
次に、上部電極9の構成について詳細に説明する。
上部電極9は、図2にその断面を拡大して示すように、上部電極9は、母材31の表面である下面に金属製の中間層であるボンドコート層32および絶縁セラミックスからなる溶射被膜33がその順で形成されて構成されている。
母材31は、AlやSi等の導電性材料からなるベース部31aと、ベース部の中央部に嵌め込まれ、下面の中央部を含むように設けられた誘電体部31bとを有する。誘電体部31bは、以下に示す機能を発揮させるために設けられる。
すなわち、電極の母材を導電体のみで形成した場合には、電極下面の中心位置はプラズマと接しており、RF等価回路的には開放端となっているので、プラズマへ供給される電界分布が定在波的となり、プラズマ密度の不均一を生じる。この傾向は、高密度プラズマを形成するために高周波電力の周波数が高まるほど顕著となる。これに対し、中央部に誘電体部を配置することにより、その部分において高周波電力を減衰させる効果が発揮され、電極9の下面中央部の電界強度が低下し、電極下面の電界強度が均一になってプラズマ密度を均一にすることができる。
このような観点から、誘電体部31bとしては、比較的誘電率の高い材料、例えばアルミナ(Al)、窒化アルミニウム(AlN)、窒化ケイ素(Si)、シリカ(SiO)、イットリア(Y)等を用いる。
母材31を構成するベース部31aと、誘電体部31bとは、例えばねじ止めにより締結される。この場合にこれらの間にシリコーンゴム等の熱伝導性シートを挟むことが好ましい。
溶射被膜33は、プラズマに対する耐性が高い絶縁セラミックスで構成される必要があり、アルミナ(Al)やイットリア(Y)を好適なものとして挙げることができる。
ボンドコート層32は、溶射被膜33の密着性を向上させるために形成されるものであり、その材料としては、アルミニウム(Al)やニッケル(Ni)等の軟金属が好適である。このボンドコート層32は、互いに孤立した複数の島状部位32aからなっている。ボンドコート層32の形成方法は特に限定されないが、マスクを用いた溶射で形成されることが好ましい。これにより、比較的容易に互いに孤立した複数の島状部位32aを形成することができる。
ボンドコート層32を構成する島状部位32aの形状は特に限定されないが、規則配列しやすい形状が好ましく、図3の(a)に示す円形や、図3の(b)に示す正方形を好適な例として挙げることができる。
このように構成されるプラズマエッチング装置においては、まず、ゲートバルブ14を開にして半導体ウエハWを搬入出口13からチャンバー1内に搬入し、支持テーブル2に載置した後、排気系12の真空ポンプにより排気ポート11を介してチャンバー1内を排気する。
そして処理ガス供給系28から処理ガスが所定の流量でチャンバー1内に導入され、チャンバー1内のガス圧力を例えば13〜1333Pa(100mTorr〜10Torr)にし、その状態で第1の高周波電源17から支持テーブル2に例えば100MHzのプラズマ形成用の高周波電力を供給する。これにより上部電極9と下部電極である支持テーブル2との間に高周波電界が形成される。また、この第1の高周波電源17からのプラズマ形成用の高周波電力に重畳させて、第2の高周波電源20から例えば3.2MHzのイオン引き込み用の高周波電力を供給する。この際に、半導体ウエハWは、直流電源22から静電チャック21の電極21aに所定の電圧が印加されることにより例えばクーロン力により静電チャック21に吸着保持される。
この状態で、上部電極9と下部電極である支持テーブル2との間の処理空間に処理ガスの高周波プラズマが形成され、半導体ウエハWの所定の膜がエッチングされる。
この際に、処理空間に形成されているプラズマからの高周波電力は、上部電極9に達し、そこからチャンバー1の壁部を経てグラウンドへ流れるが、従来は、図4に示すように、母材31と溶射被膜33の間に、連続したボンドコート層32′を設けており、その場合には、ボンドコート層32′が高周波電力のパスになってしまい、プラズマからの高周波電力がボンドコート層32′を通ってグラウンドに流れてしまう。そのため、プラズマにとって誘電体部31bはないのと同じこととなり、誘電体部31bによる高周波電力を減衰させる効果が有効に発揮されない。
これに対し、本実施形態では、金属中間層であるボンドコート層32が互いに孤立した複数の島状部位32aに分離しているので、図5に示すように、高周波電力を島状部位32aの間で遮断することができ、高周波電力はボンドコート層32を流れず、母材31を流れる。そのため、母材31の誘電体部31bによる高周波電力を減衰させる効果が有効に発揮される。
ボンドコート層32を構成する島状部位32aの隣接するもの同士の最小の間隔(ギャップ)a(図2,3参照)は、適用する高周波電力の周波数におけるスキンデプスによって決定される。すなわち、高周波電力は導電体の表面部分に流れ、その部分の厚さがスキンデプスであり、隣接する島状部位32aの間隔(ギャップ)aがスキンデプスを超えれば高周波電力は伝導しない。ただし、高周波電力遮断能力とボンドコート層32の密着力とはトレードオフの関係があり、隣接する島状部位32aの間隔を広くしすぎると密着力が低下してしまう。なお、スキンデプスδは、δ=(2/ωσμ)1/2(ただし、ω=2πf(f:周波数)、σ:導電率、μ:透磁率)
と表すことができる。
また島状部位32aの面積が大きくなりすぎると、一つの島状部位に溜め込む電荷量が増加してしまい、予期せぬ異常放電を発生させる懸念があり、その観点からは島状部位32aの面積を可能な限り小さくしたほうがよいが、小さくしすぎるとボンドコート部の総面積が小さくなり密着力の低下が低下してしまうので、これらを考慮して最適な面積とする必要がある。
プラズマ形成用の高周波電力の周波数が100MHzの場合を例にとると、島状部位32aの間隔(ギャップ)aは、約0.1〜0.2mmであることが好ましく、また、島状部位32aが図3の(b)のように正方形の場合には、1辺の長さbが約0.7mmであることが好ましい。
また、図6に拡大して示すボンドコート層32の厚さcが厚くなると溶射被膜33を形成した後の最終溶射面の平滑性が低下するため、平滑性を確保する観点から可能な限り薄くすることが好ましいが、薄すぎると密着性を向上させる効果が小さくなってしまう。これらの点を考慮すると、ボンドコート層32の厚さcは約0.03〜0.05mmであることが好ましい。
次に、上部電極の構造の他の例について説明する。
上記上部電極9において、母材31として、Al等の金属からなるベース部31aと、誘電体部31bとを、例えば図7に示すように、これらの間に熱伝導シート35を配置して、ねじ34で締結したものを用いたが、この場合には以下のような問題点がある。
1.ベース部31aを構成する金属の熱膨張係数が誘電体部31bの誘電体に比較して大きく、高温においてこれらの間の機械的誤差が生じやすい。
2.ねじで締結する場合には、破損の危険があるため、ねじに大きなトルクをかけられないため導入ガスのリークが生じやすく、また寸法誤差や取り付け誤差が発生しやすい。シリコーンゴム等の熱伝導性シートを使用して誤差を吸収することができるが、完全には吸収されず、むしろ高温での使用で経時劣化しやすい、ガス吐出孔から到達するプラズマに対する耐性が低い、熱抵抗になりやすいため、誘電体部の熱衝撃破壊を引き起こしやすく、表面温度分布性均一性も低い等の不都合が生じる。
3.ベース部31aと、誘電体部31bとをねじ止めする場合には、両者に隙間が生じるため、溶射面にも隙間が存在し、その部分の耐プラズマ性や異常放電発生が懸念される。
これに対して、ここでは、図8に示すように、上部電極9の母材31を金属−セラミックス複合材料からなるベース部31a′と誘電体部31bとで構成し、これらを金属ロウ37で接合する。また、ガス吐出孔10の周囲には、誘電体材料からなるスリーブ38を設ける。そして、ボンドコート層32および溶射被膜33を上記例と全く同様に形成する。
母材31を構成する金属−セラミックス複合材料からなるベース部31a′は、金属の持つ高導電性とセラミックスが持つ低熱膨張性とを兼備したものとなり、高温になってもベース部31a′と誘電体部31bとの熱膨張差が小さく、これらの間の機械的誤差を極めて小さいものとすることができる。また、このように両者の熱膨張差が小さいため溶射ひび等の溶射欠陥が生じ難い。さらに、このように熱膨張差による機械的誤差を小さくできることから、これらの接合する際に、ねじ止めではなく金属ロウ37での接合が可能となる。このように金属ロウ37を用いることにより、ベース部31a′と誘電体部31bとの隙間を埋めることができ、導入ガスリークや隙間によって生じる熱抵抗を防止することができる。また、ベース部31a′と誘電体部31bの界面に隙間が生じないため、母材の全面に形成する溶射被膜33に隙間が生じない。さらに、金属−セラミックス複合材料は熱伝導性も高いため、上部電極9に温度不均一が生じ難い。誘電体部31bとして、特にAlNのような高熱伝導性のものを用いれば、母材31全体を極めて熱伝導性がよいものとすることができ、上部電極9の温度分布を一層均一にすることができる。
また、ガス吐出孔10の周囲に誘電体材料からなるスリーブ38を設けることにより、金属ロウ37がガス吐出孔10に侵入するプラズマから保護され、金属ロウ37がプラズマによって飛散してチャンバー内雰囲気が汚染されることを防止することができる。スリーブ38の材料としては、プラズマに対する安定性が高い高純度のものが好ましく、また、金属ロウとの親和性も要求されるため、誘電体部31bと同様の誘電体材料を用いることが好ましい。
金属−セラミックス複合材料としては、多孔質のセラミックスに金属を含浸して緻密化させたものを好適に用いることができる。多孔質のセラミックスとしては、AlやSiO等を用いることができ、金属としてはAlやNi等を用いることができる。ただし、Alは融点が低いため、一般的なAlよりも高融点の金属ロウを使用した場合には、ロウ付け工程で溶融してしまい、適用が制限される。
この場合に、多孔質誘電体に金属を含浸させる工程中に同時にロウ付け接着を行うことが好ましい。これによりベース部31a′と誘電体部31bとの隙間を完全に埋めることができる。
以上は、金属−セラミックス複合材料からなるベース部31a′と誘電体部31bとを金属ロウ34で接合した例を示したが、ベース部31a′を多孔質セラミックスに金属を含浸させて形成する際に、含浸する金属をベース部31a′と誘電体部31bとの間に充填させて接着させることもできる。
この方法について図9を参照して具体的に説明する。
まず、ベース部31a′の前駆体である多孔質セラミックス41にスペーサー42で一定間隔をあけて誘電体部31bを配置する(図9の(a))。この際に、スリーブ38は予め配置しておく(図9では図示せず)。次いで、溶融金属をガス圧等で加圧して多孔質セラミックス41に含浸させる(図9の(b))。溶融金属が多孔質セラミックス41に行き渡った後も溶融金属の加圧を続け、溶融金属を溶融金属が含浸された多孔質セラミックス41′と誘電体部31bとの間に充填させ、接合層43とする(図9の(c))。その後、冷却して含浸金属からなる接合層43でベース部31a′と誘電体部31bとからなる母材31を得る(図9の(d))。
このような手法を採用することにより、金属ロウを用いずに、金属含浸の際に同時にベース部31a′と誘電体部31bとを接合することができるので、工程が簡略化されるとともに、融点にかかわらずに含浸金属を選択することができ、金属ロウを用いる場合のような含浸金属の制限がなく、含浸金属として低融点のAlを無条件で適用することが可能となる。
なお、本発明は上記実施形態に限定されることなく、種々変形可能である。例えば、上記実施形態ではRIEプラズマエッチング装置の上部電極に本発明を適用した場合について示したが、これに限らず、平行平板型のプラズマ処理装置において、高周波プラズマからの高周波電力が供給される条件下で使用される電極であれば適用可能である。また、電極に限らず、高周波プラズマからの高周波電力が供給される条件下で使用され、高周波電力がボンドコート層として機能する金属中間層に流れることが不都合な用途の溶射部材に適用可能である。
本発明は、誘電体材料を用いてプラズマを調整する電極に適用可能であり、産業上の利用価値が高い。
本発明の一実施形態に係る電極を上部電極として適用したRIEプラズマエッチング装置を示す断面図。 図1の装置の上部電極を拡大して示す断面図。 図2の上部電極に用いられたボンドコート層(中間層)の島状部位の形状および配置パターンの例を示す模式図。 上部電極の母材と溶射被膜との間のボンドコート層(中間層)が連続していた場合のプラズマからの高周波電力の伝播の様子を示す模式図。 上部電極の母材と溶射被膜との間のボンドコート層(中間層)を本発明に従って互いに孤立した複数の島状部位で構成した場合のプラズマからの高周波電力の伝播の様子を示す模式図。 上部電極に用いたボンドコート層(中間層)を拡大して模式的に示す断面図。 金属製のベース部と誘電体部とを熱伝導性シートを挟んでねじ止めした構造の母材を有する上部電極を示す断面図。 金属−セラミックス複合材料からなるベース部と誘電体部とを金属ロウで接合した構造の母材を有する上部電極を示す断面図。 多孔質セラミックスに金属を含浸させてベース部を形成する際に、含浸金属でベース部と誘電体部とを接合する方法を説明するための図。
符号の説明
1;チャンバー
2;支持テーブル(下部電極,第2の電極)
8;シャワーヘッド(処理ガス導入手段)
9;上部電極(第1の電極)
10;ガス吐出孔
17;第1の高周波電源(プラズマ生成手段)
31;母材
31a,31a′;ベース部
31b;誘電体部
32;ボンドコート層(中間層)
32a;島状部位
33;溶射被膜
37;金属ロウ
38;スリーブ
41;多孔質セラミックス
42;スペーサー
43;接合層
W;半導体ウエハ(被処理体)

Claims (14)

  1. 少なくとも表面の一部が誘電体からなる母材と、前記母材の前記表面に形成された絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記溶射被膜形成部位が高周波プラズマ雰囲気に曝される溶射部材であって、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とする溶射部材。
  2. 前記中間層の隣接する島状部位の間隔が、プラズマを生成する高周波電力のスキンデプスより小さいことを特徴とする請求項1に記載の溶射部材。
  3. 母材と、前記母材の表面に設けられた絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記母材は、導電性材料からなるベース部と、前記表面の一部を含むように設けられた誘電体部とを有し、前記溶射被膜側に高周波プラズマを形成するための電極であって、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とする電極。
  4. 前記中間層の隣接する島状部位の間隔が、プラズマを生成する高周波電力のスキンデプスより小さいことを特徴とする請求項3に記載の電極。
  5. 前記ベース部は金属からなることを特徴とする請求項3または請求項4に記載の電極。
  6. 前記ベース部は金属−セラミックス複合材料からなることを特徴とする請求項3または請求項4に記載の電極。
  7. 前記ベース部を構成する金属−セラミックス複合材料は、多孔質セラミックスに金属を含浸させたものであることを特徴とする請求項6に記載の電極。
  8. 前記ベース部と前記誘電体部とは金属ロウで接合されていることを特徴とする請求項6または請求項7に記載の電極。
  9. 前記ベース部と前記誘電体部とは、前記ベース部に含浸させた金属をそれらの間に充填させることにより接合されていることを特徴とする請求項7に記載の電極。
  10. 前記母材、前記中間層、および前記溶射被膜を貫通する複数のガス吐出孔を有し、前記ガス吐出孔の周囲に誘電体からなるスリーブが設けられていることを特徴とする請求項3から請求項9のいずれか1項に記載の電極。
  11. 被処理体が収容され、減圧下に保持されるチャンバーと、
    前記チャンバー内に相対向するように設けられた第1および第2の電極と、
    減圧下に保持されたチャンバー内に処理ガスを導入する処理ガス導入手段と、
    前記第1および第2の電極間に高周波電界を形成して前記処理ガスのプラズマを生成するプラズマ生成手段と
    を具備し、このプラズマにより被処理基板に所定のプラズマ処理を施すプラズマ処理装置であって、
    前記第1および第2の電極の少なくとも一方が、母材と、前記母材の表面に設けられた絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記母材は、導電性材料からなるベース部と、前記表面の一部を含むように設けられた誘電体部とを有し、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とするプラズマ処理装置。
  12. 前記第1の電極および第2の電極は上下に対向し、それぞれ上部電極および下部電極として機能し、前記第2の電極に被処理体が載置され、前記プラズマ生成手段は前記第2の電極に高周波電力を印加する高周波電源を有し、前記第1の電極が、母材と、前記母材の表面に設けられた絶縁性セラミックスからなる溶射被膜と、前記母材と前記溶射被膜との間に設けられ、これらの間の結合力を高める金属製の中間層とを有し、前記母材は、導電性材料からなるベース部と、前記表面の一部を含むように設けられた誘電体部とを有し、前記中間層は、互いに孤立した複数の島状部位からなることを特徴とする請求項11に記載のプラズマ処理装置。
  13. 前記処理ガス導入手段は多数の孔からガスを吐出するシャワーヘッドを有し、前記第1の電極は、前記シャワーヘッドの下面のシャワー板として機能し、多数のガス吐出孔が形成されていることを特徴とする請求項12に記載のプラズマ処理装置。
  14. 前記中間層の隣接する島状部位の間隔が、プラズマを生成する高周波電力のスキンデプスより小さいことを特徴とする請求項11から請求項13のいずれか1項に記載のプラズマ処理装置。













JP2004037094A 2004-02-13 2004-02-13 溶射部材、電極、およびプラズマ処理装置 Expired - Fee Related JP4364667B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004037094A JP4364667B2 (ja) 2004-02-13 2004-02-13 溶射部材、電極、およびプラズマ処理装置
US11/055,058 US7331307B2 (en) 2004-02-13 2005-02-11 Thermally sprayed member, electrode and plasma processing apparatus using the electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004037094A JP4364667B2 (ja) 2004-02-13 2004-02-13 溶射部材、電極、およびプラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2005228973A true JP2005228973A (ja) 2005-08-25
JP4364667B2 JP4364667B2 (ja) 2009-11-18

Family

ID=34988390

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004037094A Expired - Fee Related JP4364667B2 (ja) 2004-02-13 2004-02-13 溶射部材、電極、およびプラズマ処理装置

Country Status (2)

Country Link
US (1) US7331307B2 (ja)
JP (1) JP4364667B2 (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007194507A (ja) * 2006-01-20 2007-08-02 Tokyo Electron Ltd プラズマ発生用の電極及びプラズマ処理装置
KR100754363B1 (ko) 2005-12-29 2007-08-31 코리아세미텍 주식회사 웨이퍼 에칭용 전극
KR100754364B1 (ko) 2005-12-29 2007-08-31 코리아세미텍 주식회사 웨이퍼 에칭용 전극
KR100754362B1 (ko) 2005-12-29 2007-08-31 코리아세미텍 주식회사 웨이퍼 에칭용 전극
JP2008042115A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd プラズマ処理装置用の載置台及びプラズマ処理装置
US7619179B2 (en) 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
KR20100100712A (ko) * 2009-03-06 2010-09-15 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 장치용의 전극
JP2012069867A (ja) * 2010-09-27 2012-04-05 Tokyo Electron Ltd 電極及びプラズマ処理装置
US8888951B2 (en) 2009-03-06 2014-11-18 Tokyo Electron Limited Plasma processing apparatus and electrode for same
JP2014222660A (ja) * 2014-06-16 2014-11-27 東京エレクトロン株式会社 プラズマ処理装置
US8920598B2 (en) 2010-03-16 2014-12-30 Tokyo Electron Limited Electrode and plasma processing apparatus
US8968513B2 (en) 2010-03-16 2015-03-03 Tokyo Electron Limited Plasma processing apparatus
KR101828862B1 (ko) * 2015-07-10 2018-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 샤워 헤드
CN108369909A (zh) * 2015-12-16 2018-08-03 东京毅力科创株式会社 等离子体处理装置
US10290468B2 (en) 2008-02-29 2019-05-14 Tokyo Electron Limited Electrode for plasma processing apparatus, plasma processing apparatus, plasma processing method and storage medium
WO2020116245A1 (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111441008A (zh) * 2020-05-06 2020-07-24 翰贝摩尔表面技术(江苏)有限公司 一种自动陶瓷热喷涂设备
KR20210097785A (ko) 2018-12-06 2021-08-09 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
KR101097927B1 (ko) * 2005-08-18 2011-12-23 재단법인서울대학교산학협력재단 액정 표시장치 제조 방법
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US8741098B2 (en) * 2006-08-10 2014-06-03 Tokyo Electron Limited Table for use in plasma processing system and plasma processing system
US8262847B2 (en) * 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US8222156B2 (en) * 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
TWI383197B (zh) * 2007-07-26 2013-01-21 Au Optronics Corp 蝕刻機台電極結構及其製作方法
KR101125885B1 (ko) * 2007-07-31 2012-03-22 어플라이드 머티어리얼스, 인코포레이티드 감소된 플라즈마 침투 및 아킹을 갖는 정전척을 제공하는 방법 및 장치
US9202736B2 (en) * 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
JP4524354B2 (ja) * 2008-02-28 2010-08-18 国立大学法人東北大学 マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5265770B2 (ja) * 2008-07-07 2013-08-14 ラム リサーチ コーポレーション プラズマ処理チャンバ内のデチャックを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコンピュータ可読コードを格納するプログラム格納媒体
TWI511622B (zh) 2008-07-07 2015-12-01 Lam Res Corp 用來偵測電漿處理腔室中之原位電弧事件的被動電容耦合靜電探針裝置
JP5427888B2 (ja) 2008-07-07 2014-02-26 ラム リサーチ コーポレーション プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
US8164353B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation RF-biased capacitively-coupled electrostatic (RFB-CCE) probe arrangement for characterizing a film in a plasma processing chamber
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US20140034239A1 (en) * 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
WO2010037716A1 (en) * 2008-10-01 2010-04-08 Oerlikon Solar Ip Ag, Truebbach Radiofrequency plasma reactor and method for manufacturing vacuum process treated substrates
JP5455462B2 (ja) * 2009-06-23 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10053361B2 (en) * 2014-12-26 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of selectively removing an anti-stiction layer on a eutectic bonding area
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106340434B (zh) * 2015-07-10 2018-12-14 东京毅力科创株式会社 等离子体处理装置和喷淋头
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020116246A1 (ja) 2018-12-06 2020-06-11 東京エレクトロン株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11373893B2 (en) * 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6457761A (en) * 1987-08-28 1989-03-06 Mitsubishi Electric Corp Photovoltaic power generation device
US5672208A (en) * 1994-08-24 1997-09-30 Sony Corporation Plasma discharge apparatus
JP2895768B2 (ja) * 1995-03-28 1999-05-24 三洋電機株式会社 成膜装置
JP2971369B2 (ja) 1995-08-31 1999-11-02 トーカロ株式会社 静電チャック部材およびその製造方法
JP3367077B2 (ja) * 1997-10-21 2003-01-14 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US6358324B1 (en) * 1999-04-27 2002-03-19 Tokyo Electron Limited Microwave plasma processing apparatus having a vacuum pump located under a susceptor
JP3815591B2 (ja) 1999-08-11 2006-08-30 アルバックマテリアル株式会社 成膜装置用部品の製造方法および成膜装置用部品
JP4272786B2 (ja) * 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP2003224180A (ja) * 2002-01-28 2003-08-08 Kyocera Corp ウエハ支持部材

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100754363B1 (ko) 2005-12-29 2007-08-31 코리아세미텍 주식회사 웨이퍼 에칭용 전극
KR100754364B1 (ko) 2005-12-29 2007-08-31 코리아세미텍 주식회사 웨이퍼 에칭용 전극
KR100754362B1 (ko) 2005-12-29 2007-08-31 코리아세미텍 주식회사 웨이퍼 에칭용 전극
JP2007194507A (ja) * 2006-01-20 2007-08-02 Tokyo Electron Ltd プラズマ発生用の電極及びプラズマ処理装置
KR100839250B1 (ko) * 2006-01-20 2008-06-17 도쿄엘렉트론가부시키가이샤 플라즈마 발생용 전극 및 플라즈마처리장치
US7619179B2 (en) 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
JP2008042115A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd プラズマ処理装置用の載置台及びプラズマ処理装置
US10290468B2 (en) 2008-02-29 2019-05-14 Tokyo Electron Limited Electrode for plasma processing apparatus, plasma processing apparatus, plasma processing method and storage medium
KR20100100712A (ko) * 2009-03-06 2010-09-15 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 장치용의 전극
US8888951B2 (en) 2009-03-06 2014-11-18 Tokyo Electron Limited Plasma processing apparatus and electrode for same
KR101690326B1 (ko) * 2009-03-06 2016-12-27 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 장치용의 전극
US9202675B2 (en) 2009-03-06 2015-12-01 Tokyo Electron Limited Plasma processing apparatus and electrode for same
US8968513B2 (en) 2010-03-16 2015-03-03 Tokyo Electron Limited Plasma processing apparatus
US8920598B2 (en) 2010-03-16 2014-12-30 Tokyo Electron Limited Electrode and plasma processing apparatus
JP2012069867A (ja) * 2010-09-27 2012-04-05 Tokyo Electron Ltd 電極及びプラズマ処理装置
JP2014222660A (ja) * 2014-06-16 2014-11-27 東京エレクトロン株式会社 プラズマ処理装置
KR101828862B1 (ko) * 2015-07-10 2018-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 샤워 헤드
CN108369909A (zh) * 2015-12-16 2018-08-03 东京毅力科创株式会社 等离子体处理装置
JP2020092025A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2020116245A1 (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20210096250A (ko) * 2018-12-06 2021-08-04 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법
KR20210097785A (ko) 2018-12-06 2021-08-09 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법
JP7117734B2 (ja) 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102607692B1 (ko) * 2018-12-06 2023-11-30 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법
US11923170B2 (en) 2018-12-06 2024-03-05 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN111441008A (zh) * 2020-05-06 2020-07-24 翰贝摩尔表面技术(江苏)有限公司 一种自动陶瓷热喷涂设备

Also Published As

Publication number Publication date
JP4364667B2 (ja) 2009-11-18
US7331307B2 (en) 2008-02-19
US20050211384A1 (en) 2005-09-29

Similar Documents

Publication Publication Date Title
JP4364667B2 (ja) 溶射部材、電極、およびプラズマ処理装置
JP7030143B2 (ja) 高温処理用静電チャックアセンブリ
TWI518841B (zh) Electrostatic sucker
US7619179B2 (en) Electrode for generating plasma and plasma processing apparatus using same
JP5029089B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
US10861730B2 (en) Electrostatic chuck device
JP4421874B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI358785B (ja)
JP5513104B2 (ja) プラズマ処理装置
KR101163825B1 (ko) 정전척 및 그 제조 방법
JP5612300B2 (ja) 基板載置台、その製造方法及び基板処理装置
US10622239B2 (en) Electrostatic chuck device
JP5082246B2 (ja) プラズマ発生用の電極、プラズマ処理装置及びプラズマ発生用の電極の製造方法
KR20090071439A (ko) 정전척 및 기판 온도조절-고정장치
JP2007266342A (ja) 載置台及び真空処理装置
JP2003309168A (ja) 静電吸着ホルダー及び基板処理装置
TWI723031B (zh) 電漿處理裝置及噴頭
JP2011205000A (ja) 載置台
TW202240757A (zh) 靜電夾盤及基板固定裝置
KR101071248B1 (ko) 정전척 및 정전척의 제조방법
JP2022154714A (ja) 基板載置台の研磨方法及び基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090421

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090818

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090819

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150828

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees